From 8ab8616d084ea5e4749a057153b061fa1b4c7099 Mon Sep 17 00:00:00 2001 From: John Fleck Date: Wed, 23 Aug 2017 16:39:47 -0400 Subject: [PATCH] Update Intel OPA hfi1 firmware Update dc firmware to production signed 1.24.0 Update version numbers in WHENCE file Signed-off-by: John Fleck --- WHENCE | 2 +- hfi1_dc8051.fw | Bin 32252 -> 32900 bytes 2 files changed, 1 insertion(+), 1 deletion(-) diff --git a/WHENCE b/WHENCE index 06a46d8..a5d1a26 100644 --- a/WHENCE +++ b/WHENCE @@ -3533,7 +3533,7 @@ License: Redistributable. See LICENSE.atmel for details Driver: hfi1 - Intel OPA Gen 1 adapter File: hfi1_dc8051.fw -Version: v0.47 +Version: 1.24.0 File: hfi1_fabric.fw Version: 0x1055 File: hfi1_pcie.fw diff --git a/hfi1_dc8051.fw b/hfi1_dc8051.fw index c545c22b3687a50759c07aaf46da70d7a5672985..b0271d92c0a4554893b3741c75a46914e466c4e9 100644 GIT binary patch literal 32900 zcmcJ2349bq7I$~e)j3GQBp`=E2or^H7>?{BhsMZ#8AAZ&98gwQaS1YmT?u;fgIa-&|WHL#<|Eunq%mj3If8Y0s-P2WF_4?JT zSFhfC^{QeP1mOu>f*|tyeJ(+;NEc;(+glLwkwcgE1`q!q{+qDq<8^O+m-M$0KV3Sq z?AgsXrS*DGxYd=?rOtdRVQZJm|FNQB!L_${UGnv$ewXd&+5h)9Jzjg+^zaSGUj6XC zQLoPJG{tzgZ_@Dl7cSe{GW|N=n|q$FnYt_R@Pm8u-+Jm`Md%N+N2RTub$r;`n+(sW zXTE%U`_havZ_WJd*}Ok3$h__JBUP3m*B|(LtmnrY|GqSN-PDu?--Q4D=d+g&Khgi< zlRJ`&UMl)(^S$eeS1uXw!ocwTO9!tQ6DS@%>ko@=eCN~WM~Rg?7foCDW!vj#{&tjo zT(*4qrTYfo{nc$N`@FRAiy4Fab?rZO?}^JseD;1?L$8%fpUS%8=*3MBIj*lydgsdd zU-j60KWo_cVrapk+@~r;PX}G`=wX*v+ZmUB2pp!GGHM_%~?* zW8UW%ONBpQRdfC9SzBknvg*Et!*M{`EjJagv*_c@MSy0~HZU*=EP^u%0o zRqZXOKl%v`aO~!ja<={5#FU|17D?Any2HHg{(;LM7=1}w>x+MQ z@S)G-%~_>W2VL>P?5+KJeDuOK^IxAf^YvbjY*};eo7%UQBv(zlH0hPgzj;+%eScf) zfc57Vy#DmZ_TP6;+oaMXOPBn4h|&A1 z{o!%x#gAXLaNfeJc4y5Sc1?-@n(a3xuK%k0tO0A%`{lgxYQYol^f~zXl4+*kHy;!h zzkbd=DEHdkAJ6Yy|K;J96FZ9rc*C7u+w$-2ufMUP`jbEOeC@zrzaDwjKTcfw{=92m z>TW!~v1abKmcC$DgH}*|Dbvj_vxkSW<%br8~yYT{2<*%&lkY zGo?41)@-n@QF~;D3tzu`>y(s_|IrdIx%sILzgV8@-n?nj@5|oqvbT3@r$DD$=AC=5 z-=*#;8wTunbaC40tvlbXyXpB2mN%RJ6nOL&CZrG5hZwuFht-haYIWYb)|%ec=e8S| zkg__tW~^ZExki-NRj&#=AD6s4ekzk*7MSVrFVB7Tlk@R5@2a++zi3${RHIztYQg!q z;Qe>P7vWXm>amhNd3Ay;2wt`9i}05rfb+R!qfcEU;I1^=t$|!cOr+;i>CN=Q^}|MY z7M1xy4V4(3?zSx1_`TA+Nj0hK#JdESxprWiUrCSF53nb43i$4wq8eua>>1HcyVgtWm<70rM{LZosS?)KWjJ6)^opra+Oo zZo1vD*_~Bul#MmTsjBM}s$Z|l##$v*rU1=)C484~(kK-EehXmSE#3nd^@Cd*hP464 zW?{8ZU1Y8)veaK|Pp`{q^^R)W>fTnWG)nYSt#)#~spIsMTIL7X1|95AO1MmEk&Qnp zty?X<8tj=H-J434wz9+SXG%A_x0E)hWk=l4mdeJTYSpqj)%8{6NeB0{2JV~^l8rwr z&1FhhHU^cJE#^z=?OisxHR2YlU^fT}Hxev+9tn>*hGR@g{B-sCDaAFJ*NIPlBg|p9Vj3ZWWwv(VBeo8v9x%3;zTG1L~S?YeiZ3Mtj~& z&x-aOUMtALciQuFRQ?=)mIaGLRAoWp_XLN8=T43`wG6*${I`rhcXOm7r>Db!yNy5R zIvAdZ@Oq>8eWarsa^@0k{)r4L`_ak7>gC9B9 zr=31@`j~8Nbj(xBP)V3)WhP2yYROC_*9bt{*oYCTQm;}DIyqV=lJ`$7@1ImLl7|M* zV21OmgFY9^21zcEt$!LaLPL%4_`gaxY+BoFdQ*pM$>Dl(q?R11Cr4??QF=08OXlmz zv08Gho}8#9C+f+mT5_tMoS`LW=*eO&S*#`FnuTuVy}|UNMlEU7lV&Yx){~N!l=Nh> zmQ2=@X<9N(PiAPz3@sV|)efKezkmxaYe`z>?mUO`>nN z>f4pAWGf-xuHs620zovYEa^kMQ<{&PgnXW5pseG@D;(FCGoi4(ltV1v-gTq#F5y(| z&fL14dTEme!05LLs&}|hrn;_nT>R@5yTw-wyxF%{vCV5n&9H1T`Uj}q(Pb)qX%LdU zM@dNd9^mEdDkr%Va%}C@4ki+6pVB>TrTayd< zE7Wi#mK%gZbjRtyfB|ws#tN2@#}a0+glA&@e=+hr84nfDB{4)(2FUE#hl)(* z8d#CbT!|HDnQKVJcVk>AF6hOL|0F~Y0~e>;qURa@h9)@OOiOAHvUp5KAN?Kw0a?he zWT;WaDhee`1>U&N6MyjTb)?RGEL35TWD^4Zo_A@O zidsh+QXn7r7~u%^s4yaf8b%mj5KWsLgGQO>vB#p^QWnE6^t>mEfD+stML-Ge(lF;F zJG_fVWe*yaHX4;lA68T{SD%V1=E|y22Dtjl%thGn$(DLpC$+WfLZqx+uc7<01@tD` zN0Ay3fSI1h+|~)3Jo_K>JhjjB^j^<_J)R@GJ!~Nr20X@7wR$ z`;=$T)1KW2JiCr~{{F1z^P`?K#|X^C{;n*&cn>t&vu~eg?_STIJ)YgWJ-c?f%=8Z2 zZ89jq?T*6o`#N)&c8KRPm*m{~q{{#S%_Y*H(_duKKnXb#Fy8a0`*U*SWN8IUzLq6l z%91Z*$vG@}5KGQw$-`Lk?^yEHEO}}co7Ko{tJr0Etmq}S`aKQ0n8kWN5q&IdwWfVV zV%cO?t&<|q z>|`m&Sn^9O<%DdK9J!>Y5l5%IM@gAKh&uuN26{sy9z*RoIx*$A;S!gX3Ox`hy*=`< zBl7SdJz%QB2ugn_PLK#gx!N^>G^IjZ*WoI{HG?uRpYwlc5+(6z0s4TRHI-&sO^q8) zZ}V{d4%hE-jc$LJ-~Kq3ijBiH9@hk1-IV4ThE)6pbhR$3r#c`mx{;nLc-!k&w?Edl zKdRBkh?0wTFgsUrb*=X^l9H6cUIYr_5#pLi;fsMs81J0*f^~6G71QW0i*JMaMAgg) z4fA=IKvTslvqnz=^c?;^>Y&`!`~^(0rN~UFCA}c>YAk;xsjlnvSM9Yx34lvbUBBb6 zG*A=ym!JpZRg&uJN#M~Y@olf_N}FugB|s_>|0e~ABTdLaJyQaP1!ZG4NPUTzG&O4lo>=NgmO7cG&Sj}fS?WD3 z^#PW;Up6HI6(~Z~@htVPl!jsrS(EL#BQB3YtgmdcD(FbU*V;Xw7fYZjc7yd!&^?{^wzvfiF;PP_fsiGi!EvpqEDT0LQ7D9p@--ih z-x9cQh%#G1uftx|&%d&T%v9OCzUxhA~kP|3UPZ;mnxR%`VeAenqvSp$PuO{SkWSZX}v=9 zOMeJ{3@ynU;b@&Rwjk&RGA#WIYRNciiAEBK2e<$(;9# z7$`25t(;MtoA6! zKFNMb&ze)|P4(%mb?Gg&>9E}Nos9xmK?(khS4!|lWI<}*KWA)au#u0nLKQX)b6ru9#$5d>Iy2X06;?8((@9f@ zrDdz=!(6>9N|>ux#d7Alq~eY&*TpnU!5O$1E(0zjE)y;@E(_TbPe<~dk>8jW=_^O74Y+494jg-nai!n092jQsUfb67)LIsdbi&TFJW&k_Q z03v?zLbwjtE(X>&l9<99EG%$Ut_5aATw52?gnUsK=u0$tQ(%U~M#C90EHkUS%Wz)?OG_-#8{RoX9rk{O3Aq@$`@8|eE z$e=TrmSP6)+{u}IzoRYKDVP#WbtU2{S$n?Q_3HCN;WF8Dn*!ckUaxL*SDGOxs$jA% zRocpboGzPgCpRE@;@}MQB`*x7`8r)6?CeXqA!zlb&IzXbl4t(Z?CUi1-(g?M%yTWi z)S1Chpgb+Dw!Cw4UAa~Am!}&c+o3+VvmB$t4CX*Xs{|qx;lYL+C=T|IfPpKuu{_1Z%@aJ$g|`tU8KmZx>tMGe4N>cJk7)e z;l+l49d)hCKL&oR=V(luF>soXgH(p&iOJ-^oCvFF1d1FtPt}MAPU7{UpFTp=I#{a) z&J`IW%(a=A3%S~th~4lVH>(&`;g zCffCZr!MQ)4`x(9*rn#duKouvs$y^kWXcX#c1D{cIj>8jH?M1xH}4|!;D%@yP(wX2 zo#l9kyHUMkS}-}|6<=`GG%cd?IZkF!0TUKDkdmO9Y&t~g2NZk0Y?|#Ze2DGSecN?0T)0=3jXG zNc>J*B(pgka+7%OJViDKQM0#o;s`ETGn8<$EN0X~Ng^KLVrYbH{)JYC;f{2=sbeQD z(uZ1P2U`1XkE|Y z!@0)sIBzAlo#KTU?4rIo=ket!$GP1OagTSecV8h~G^s4PP;c!v-rBjmt+Mz6Pfc^) zH%Zs}64$!cjo{%P(%Q^*hP#Bjb>p`lB9QxfUXjo9qmWC5d?lI>1Qb~H374&YyKzk? z7h6N-d~%_6`az$&1lT0<#LF5SB8P$52Dg(?Pm$D(^R&QwO|LND>9ZZ5qA)j`9OPEe zX1(InXzog~M;w^0(Zl376Y?=Jt<+{AV9^O!AY_BN@SK8Yr~5{*vD0nm%p8O_2XrIu zoAesI^l=Vw^88hZNpiZZO$}Hl()>G^-a!L{ngwcr2!&U3r+y)&sPXmzlsS+%Klx^2 zW8n4;T!MJNPG8Ku{uUL4|cmiFMU{ zy%P!1k+S$QS9PQFiLMi+(uATn6kZ`KDL~ziTDvW=4tpLbp>bUMLoAS~0pn9P)hlG6 zhiqTKpCZDaa<}kmnoxK-EIPJ-KwE8|+PFp3-foTGy<35MNOXD01J$1(dA(6m+HrbbxJLrt&pn&s~5Nfu~ z(;Ndmb;p1bKG8<3d)W}#goOv$^do2zh@pino>1EA%Pr*NxUAD}5k)rrn@^>(=_jwc z)uOp|Fzz}(QkW%+#6!B6nSxtYxgNRB3uB_ zezI9?hjl06N$_M^-Jn*TOYpvvE8w@iM+x+SlU2IXWU66#|dsXZ32CNi9UQVW- z=5j3}>cC>{^mg7?5Nme%W16JNKbkXS5lg5Ou)^{XNqZ|_Z!zP}X9ilU)m-=5s*6M~ zI;&V<#xJQnya3R_GLGHAF5dt~1J}j$I%jZBr3G;cc zKXQo;k%2dwtN7W{qbl4Fr$G?S-Pxc5SfvqJO0W;q$ShoaaoM2OF1h=l`w+bD;O836 z!h&MwS3P~)o~%+&U)5);G?LOyPBJ4Hi`x7TFYFi+H`a{j&|CD5Q-&sDt;APKNiW&- z6)@vnq+|4X3`Vl4)vMMH3}#bkYLp^ctSLS-=$i|Kn{J8;HwA$PeO0H4%T|cXHb=r> z4AQG0E?Z@@pw`i_ZnGV3#JFwpW%q0JUeUC^&xR~#Um@Bynxg8<1);E0G^-x!N+pP% z-KW)?m(_Tv?^bSkpkx?OLB87ZK0=kTFiFez^+EZ%)~I|yCt#{H3tqLscDN0nZqz)P z{VI(${VxZ8BY0I~ohq8Z*$PJ>!+dyhi_RHcc73fCa8waSPx_3bpnv`RF?w5o)i zs$qZdQixrpHBf*7aDbdw>r3%z2();v@mz{7TVuIfxJOtexRMcyhKOPW1XmAhJfr@I z7_$0vtqtees?Rn0i~7_TWi=G_tuC?w4%%7f%fi(cmyJU%Q|RA1cu4OSI9yk9P5&kc z5&tlP3V6Og1)?ttp=@vf`D}#R<8T9TCWR{>Yy>8&GInAE;NNS7)ENH1$l=Ihjcf{& zsA~o+Z5kQuw|%Y4e3%zzQ4Jud$`q!dW=0?yq8E;*Vr3Xruv+H&5Wo0zA(0QBB^Z)W zRSRU(=j~FuC9+6jUE(?FK2~~AZPKP?m>E(H)+8e4b;QdA4W*<@#Bvv}ajNdH;ij4IQI!KP{xb|&aV}xY^ zDq2bTzs8z&tG2GqhFr-dHrkuJRW>v9jrbC=<{$%?6d!1NhCVm6igC z(`v+(n~+U53IsWx_*A)Ba~RyQ79x`+x%ShFz1_gu^agd|kSnonPj^03qp7j8`Tx6_ z+NYFE16~nE>ED3}`M)+7ou)(+!ohm~A^uBe`NDQ* zDs_?_Rt-&_Scak%W?FWh#XD~rpG)ECs%~d4-LliVuZ!xUXaYxu>gt188U9Ps5aWti z^%*){Wp~aaBgLGEQ7HjuBe}wnRDz$&<^&~p#`$;i=`Up=6Tu8Ix`LT@NJQKKs0q%Z z^)n8GHcg0GncobT&01`yzEUAUg)MVnwGoA(g~g0g{!y5sh^cr(`&I@Zkh2E(g7mc@+WR*~8Z7JCMKW3o7v8>30b1rffM zsl1u45gLaNAr9{#C*t;&bkZGG8Iv{MeNQ%jOpOKb;kf{A{us@VOB&*nMkLwrTv3F4tmB7Z>N0#R)LfE+qz*U5VbG~ESa}$5~_zis5Dg%Zmqehje;lmoWzOq zc>Wr_3OD^7iBZ27_f+#WQZg60wn=PRGC5w)4Qdi`=-b3qvN%h@G$YOmsQ#R6#kP(E z1qVFqK3aNAZHz+Fro==x{6|?BMqtwUf)(w7I zv5a`jQ=lmu$lI1i=te~IM#z7>dOZuHk1!GSC?PTTm>>wX`sVe zK9ITc9G&TBup^UxE_3vupG=4Ox7+CZRW$9>Dp}2cjU`sJbwvH;13TB159(B3J~*MF z{BjfTnJQMv=u>E~*xu#7oC1q)K!FhLbrf;@ha%^p#Ftz^CoDZ^%gP}F2klr>C;e(o z|7@knHc#&iUoJH7A-IO(8m3ke#iZ=f#E z3RUL(QCnlRxi(;`G+wI$g(zbNbHQapfIT6B0$=-bC#k+6*OtLOcEvJ6jv^K4Jzv-{ z|D$$}S~r(k>Q#a{k%a7bv>E@-S<*fg;u5nCluWesXUG}N7g zUipvO1!~>GGF6*_WOFrKL&KF4>k0UaJDotRw{#+nM%^`Q@D85H&S|pw?~eN+z_4zD zf39RoYtMw_7#`sQooFuQ)#H~ z*G_#fXtQrbk?6ak$WSwd(|^n?Lh@7MU0?(b3;BlM5FF{tn;RVE``v=zXy5PW1jkgj z%dk)_iCLnj7J$R$^6(<&%PTVYeuu95eUaH;J~AaxJ}R-Ue6+c~d<=6DC3{pEQsW{D zLui)LGMO7ca2iQ$^pj|OgW6C&P$=yD8e)*akTozB&EKhPbbq{~=d-%Y>LOX3&PNo2 zOvFw|@L}S*ipD++Y+Ab;CX0o!MGCc%Bo^r-QDfu!0%HS$sKg@uEvmyOMm`b@6llue zeV_?LO)N&R0v|aTP~%Qu0p`l*Phbno)eoOYGqC)k6P*q0svak-xc}=!I`S_)k!fHB zH=XE%`<@f%yn;_oEC*QYi92wg2KXB7gCoH(qi~JJHAWU7VmN1@L&DYNBRkiWkLnaC zADvKJKE_lwLZ2lZO=z(l1)?8}UTAhL?;IReH>#+3?4fwO(fLa*vBS}DwqE={AB#oFi z&cNXX4axZYnRCYdxn~m3*~GWhbHjzV)RvG7^krvmBCHR(;&>5UEP*zK;)uwb!f zwcr`)_Kbp_mW-jX-|3TMTl z4&GtT!0%`H#f}{F8T?{b4rnFwojJoX9K<8&{9G)qVUS?l96@*?YE+I7>B5ib8PlH8 zaqT&%@X$>0Ac8+{p-w7U9I2guJ@1N`s`=+vg#kxZ2l1+xMXO$-@!(jk0m3-&7XK2& zFN!Czk<%ki0WuIr##sEOFmF^1^BCl>goVq7zO6ZE{}^v! zlG8ODm}kG13;w{olJR8nqMJ)zLTEdzA$y*l!DHQ#k$JW+l_g8G7~9a=BSggOBd#Hg ztwh)MERMJ4S`x31@n?`37!%Ub?h^72gOi3YV?j~88dF07u4{0O)pTOGnt*N_DX6Q& z)mS&H?$=l|tO@I8+t^x!W5RPQR!;(3jUN-MuVQYQA@p1=yxi0Dia-oGeoUnWE6rp| zVL8CuwEoHsS2^KaV;V@Xb100+k4 zmC%%~YCe*$`)Hw0jpJI?cz&P2?-Mb1=wbk(6u`y-*mwY&0ALfNz>@!4U=ca_TfoxJ z2i741y9E*S!Eu|$Z=SFP2&yp%&JgZD4@V2k-r0x5j;`gWd{Q&MbO@)p~(aRj+`OotEQGP#$ zIB#!x`$WEvEli(-d11ryDuleR&i6vWf3g`xCIox?2uDk*GRc zv`%5hqr;`*t~B?gXtBa8;A%4Nw|#)U{&{LkAVW(9-IRnSM25LG??n9_nmy;LB-XMd zsi7d|5$hyu_Vosj2Tue~24D5t8k_k>+95jdD7bd@tTy|2@OWL`iQtL)ypzF`4SBBy zUrlno3pXlZ6RF_Cu1MbrTqkk8iebiHR7xhw5qI`LM3+AY|8~q=Y5XjP{xYjc-qwx9RI$S_*dv_Hp?A~B2!(zd@=52IZ2>wGE>;dIVmwPB?chdW4Z46Q}i$mC0 z)uEe+;_Xd@CcZ*~>A6B6Qlvpv+FhEQEu*W8R(e?+ZLu`$*lR1fsG7h!_mnn=$Vo zF-$zp6asB5E%KSTK;wb@=3i(*k1{1jA;)%1JulOq#kx7h>b1f zka_5;zT@OpJ!gA#$H^@`2W5$BGgzw3ZY42?c!707z;5uDfTV00w~`WN_9q^>OId%y zq{)_nicDaZK~DD;{#9><2)`W(zDI!_jyTyL^6iI3gZKl3Z-3vu#fGqbjLa4(Eijpe z%WN?}-o#ddl^xb{H}*ykq;M}1ZCm+%xz`x?>u%*Im&wfTRRbKM8!4cc(72J%uq)wf zj3Rz(vF$|6f>nW7N`HpDZubrzE60x|L8Oj@;aIZxm}u+<$GO7Rx3$r?;tmO2#xCmq!z{!xW##r)zV39!=Od;v|HC0__97XK7;=unuLOU8JpZ z&fy!8euEBTo<LR1agU9hu`-KfA!tDGF%k05cNil%SmO(#F)Qx4;Kc~3h`=j9!6n9s{Q z;;=*)-+0h@@L8w(BAl4wbaw%ZIQNUrr$o=wPg8PYe@+!_FUBtv*tpnjEvge|_gI$& z$r=F*LX*UvD7Fl0;UStu*g`&+VE6wZ3aF#KO3UV3r}sc?d^FG-L3IU4PzZHgoHrXN zvz-*|xNe0^=Zc&cZf-z%gj8d<7Q(h=wo5AuaGkY;)-wW5%z>f#Wb9i?=x=d+4&EN7 z(7ucC3x$?#R7%is+ep)98wvloX%8kFjd~pZM{=y)Vk}r7{`36?> z!iDY3n`BE5GB``->SpEbQ*}G@N(!6_>%lf1!x z3!~K1gZ3g94dlU#6g_TWGp>tj2A4p`Nv4%=OEwPGDRaD{HAuGfh7!y;WsXL$3a+vSG8JAH zqXyyU`YtW*GeCGN?b5<%vh<+V5`8T_mF6Ve0|eKf``ySHj3|jlqa3i(U=kdPZjJ06 z(BXvI0clvF!CJKoZ#h6UUhd)uO`^oHDfWr7rE4si;l9Ay1r+^`gJFzpxtOyCAqd2b zgqSv9@BvpZ*guF~ze7V$KzGx#(P5jgXr zinxDpC~#GC?TB#Q6%m%5PaF|5$D?+8`TCqt!>H!!(cu~dHe0e|5y2TLCD_%$Bg+xK z1iVU8IGfNA0BL*iSYrhDmcTc)Qz?P@0MrX8z)m9ou@o;xY=r{wcFELd5$3wcUy?!x zn9*q}I~{%WxFj3g0#*>s=^m#?w3T3I&O;c(1(7f|ia_BI0r&h6w!X0;Y?1R`Cw9OJw-z$uHzNNK`8DS80d)fl+_c@NVTlpj#n zfV#3Jhnm|Th^9F2%ajHE@Df+D^S(Z^Nl%g3V5+smfvqrn)5!7z)VU>c6bOU{9L(Ja zk}N#|DQhihLtzWxD>m>Ag2B@HXE+k4cIlFc3@!=hB1scql1|1MFWHj|AycFRKIk@` z-Ta*_ja7nEpu$h}O!=2*su#tTwr#$K^6$e=pWyqxBz$m6)60Sp(GgiMci~R5zAyLC zlD)K_OSPZT+Rs%8q%ddI;#^*QXEG5)ngokVmL_`DgHv$4?6}%x*}s>Y8BSX?87~icx1GGu*QNr;AD=TLjdT06&1<_b6q)>qAd6*4!B4( zO~My_lT_DsWDxa11N@jFkXb6uDUon+kbU}H!ZoJ)jnL(IFnMzWas!(_hpqeJ*BfiNhn|*}neyw)6WOQ!A22A+!$(tojJs2Fk5g3fxy`nn)cO2mY{Cvkz{ktRdBW@xxVM!I~%l(o-QFv zvz6d9Swc+Pbad*qvNTIUymSpkWM5w?)Z_#UvHj(`%|#n5+f4Tez^Gx|bhU0A7U+SM z<5I{jthY|%eqNlIO9z?U(3OISIK|P_D&{G4d#)?>6sdg8w@Pq5$;uAk+cEo{Xp$Tiusni}$EZ@{`2 z5UWug`SEC7A3@8Eqb+XedJIAKRR~L<9spW1+@9i6&rG!eT2OVaGNaNQ%_4v8h8YOO z3Ksij+1grsvxm2})#bD_jB49#-U98KtMV-<(CV9U3L8a>u~Af7Kz@uS{MKEr)?ZPk zR+%L>=W@!2+BJkw-&(eHBAI|AN8M65}4 z{IWDJf;c~z8vQ!Qc;SAn&-E3@g6|#$ug}*6K6kL{y$awF2O`a-6QU#VwPUa67$Guw zKWjAh!@A>x7AJXh(8Z-&bP)y7>wT2|7P^qbXq=0MlacN~gj=Y&(?Ja+Bdxmw4)h?JVE;26M5~>`As= zsKq)dmD-LKVU)sZ@C8bVPYPHNep2)fYnckoJ{RjvSenYkq{Y?<-b$qsioJEo?k@Ls zxtD;1DqJ}r5%zGGab(8A{|IWsgB+ofxC0umxE)KK3xCQLuFe(BI9=W4GSV6Au%7?6 z1O5s?sGFkJPt`i|LJ)FAgq{P4>#|(Y#;c(YeTn*oM{~x05(TkeOsP~Hsg(+Ovo(Y{ zH?3^ntw;iA6&X{~O44_6F2p+8n+nNq|0QmB1gLtaS~tgjX{~J)#G|C2b$^Y($m;=q zM)$PVVT+0|v|e>}C^bW9i7j=mrAR9Wm`clBdv-^drby{-N-G@|)D5TL4@DuEl#(7q zIK#rD{jmHGmS~AX^;~O_RwtR+b95D03#ESn@fnEF$zN-TkDlc)YUkT+fw;!a5JDOv zJt&Y>$K#crt~aQ$u;DbI+c;2AY;l4tt>Y8IT~MSnec*a38m6yx_!9*82(?9~2L49~ zhUlj(cif#KOLr+PC$V!58WWVtL_miPD&1A9CSi+;v`%TZtwJ41k6xT%<`4B)vx|H) zI+o8h)4?1=YgM*rw&tMC_uE#HCsx8rK(pVrhn^|YS38)Xcbv2dYe9@SheKl`+B1AS zD{IYwwU($=o(u891Jc(lj>%Obxw3$;f7+S?le@&YM!--K{n#Nb9#cXuiWqPBD-c?) zEbb@!a3NXd*md(4$S0B(C?ShakqJ&>Q{1oE7bC6MF(xXY3ej1cc&9J#zenTk177eQ zdWkV2K8G=alX(A^(pV&Khy8N&D{&wpamaWTx6|D+pT@mFhv{T&k`0;U8p6SwICmnH zsbCk=F}MIi)N}}tWsqY9j$x4j%nx*@aXNb+L`?jkrGng2rIFrQ7cMAB#g~8VFq~gL zvNN6*vRv32Pv&TeOmOl+Dw=o3YJVF5AlU4E?Wjrxnrb-KaaH?wG>(bi+u4gxZxM~h zy5J73d`5L09%)T`JEH&zuGYQ_fxGyB1?NDR7#Fgjg9h+QU3r66Cxjh6{u98HZHeeA zh-d`&f}c;eW2$kChAWW_i#>D{El38nJMvA`Wy_jrio2Wt1(P3G7Iuj{e}FZ``#noG z=cD_xz})4lZXu-muV*3$U>HOf+HxP683Y;(EOgVxn)Z!)gt#b|HRLLNWob7iWt5H!FhAcs}69XeUnomn(A*0YVD2N8ojLcy?;OHkY zS0~;DbD|~a3}GE)L`WoR@JNJ6+HpP+M0j6T7_zBGi^Day)nS;2%_9X4^K>4xhtb3< zZ*ikCIcE%P>0VwKh2p?(iCB{+LRz?%{G$zeJF^JoazoJ5C2U(r7=3nUXTGgNUD zv!jK0_e60dB=Yc%e4~2TMc+A6=EL$W&_orzP9pdS?xW_(hUy4>&H6-1!o<`r!~lqC zhh}i15-2nuQ)E=kML!h*@#i|#d9&cioEN;sxdbk%dBHz8=L-&LUT}ePA^D0H!i~Ii zxh(xz3EClsmM-@!grtf}so0QBIcDL&Ei$j=!W~_>}@1Q6LKi zs+jNQakn5Ei^Ft$JM-2u)wg8aA4(u`*6Yrx_4TUbTWq25%^$bGj{J>!{zg3?bt7&p z?$C&K@%kvO;itjaBE){RWTZp{dO=56oRKtUF)d`bF64uLls4$aj)Pw7WU9hdh6)Br zT?&=Y;F@8CXRpA)rqET3kmbFth8V( zF6#ySlve&!M~*DYkR7dX269tI?+#(vv-PvR!`!F zLF0mw5e>E-5nH9P?$jd~nGdV$#hTn7;6;A;l=l&!@-Rlb_<_;{2Wq|qw9y$ZL#k^J z9?2u{fLdoau-k(Hn=xjaS*o`G4EZ#VLWc?GDueV{G|ocg(`X6=B(+-C191i(O%xA6 zy1*9VM?8yJ7huI_ci%146&dh1?7)WuK|P#{On*29}7t%7n<2Lvw3E?Sk#R?yKxPa)-{4YhW6rw zTjQEc%F%GqCgMz*%i3|-M=_2I@ZsV#keaZ2j9dM{@NrP_F$I7Bk2ngQMc7OLkoO4ME+pwTy(IjBe4&IZmJ2L|ZIVmoZ8`{I z9pI~D2&mCCR9G~0xI(w%WX_jh&>q0YaHs?w`bLE*e9jgoIfkA+$TylJs_`arCA7Y3 zu-0iCBLzsqR#`fPKNC`i;7WdI7*B68*Hauy8_l8_!VvaQ5n7!4@);Kcit{ITC#74 zCgceCZqHtZ14K*08%XFu`8}-ClUMcKJ}B(H5>o6LPz%e@l#_iMHli*?-qA>2L+*E7 zJ%7@sXj)Kke#Ms)KvO`1q&jQE1{~>`i1(WI&~G3Gqq(Q{NWn){*yp4CTDhe7a=wul zf(EoJe7B=NjyI^Dr7JVPqQb5Qs1bF*+Qe_%2LO z<;o^3Zt@Uv>E%e(nDUWN%F1x9x5G(MGv=aj7C zCj{6Az;9@Q*Ljl@NAO`#EvjVI1A{A}THLvH)p|zzY%1GGC}Z1_L)2II_=xd1V|ais z?`cwj*7x+uK6H=ZS?TuNS?XD((xy`hajUzMnDbwR=n0Zp6+A+W{*I<}TshDA&A-DH zKC1AlMh-46W$C0wOrDCN4+x2&Plq|_GsJ83#{>jT$ii1%K^;rbD&zD2O8GF`gvu&s zm9T2f_t_hR8>R2_HU~FD$NyXKZ{EDOgKvBD-VMI%&HE_$QB@TdGL@lk9P<3l?RmS@ z^RDXq==33qHjV^|ORw`ORdZw@U;&abOO{^et1aMLxJmHGbGVYN#a{nfh|hLIRutry zF(6|<^=?eQEPWaGjSpkqwL|(kCKqQF#iW4byYT@28zhZ9=Aya%17~AE%F>ybRC^g; zOm1v*K8eYfC7j2n4RubkSV*$?yhhcyz$qy|?JgRAgwm_4Fxx zM*I#%8k;@<6DRO1uq5p@-`uKU5Zc zE)PL0+A*Hu3kV%d!ykUILXUB}Cqx3EuF(RZMn?jmfZPQ`pN9RX(5La}YJ?o(PU^8h zN7jy~9addIG2Z4*TAGvL_Xtv3Td1G1c3>-3BvyYQw2CN literal 32252 zcmd7533wD$);C^Vy;oK`>;Y|JN7-ozQ3Qb)c4UbpD6%%L4C4~8+Ce}pn`uT7u>=_% zR)>I&it9|Ac^ib7xQx!InJ6mG=oH$m(Ai3*HFS59?{{uhcRB%__x;}Q|2%(qx|Uma zIrrRi&pqedb8ajg$Ne5Zjx(_9CW+$)SbI9hU(9huNTHwh1rPrp{F}D?!}V`{mG<_S z?=Kx&@xqpyvoCs&TPbDsXtdPWxAqwE&%2wK&b_1OEnmzSc-i*cL08=TRKsO+;y1i> z^!-iajxNf$#);;((Oa({m%Z+~!v*VZ zHa@Q&Kk?4C+q)lsYyQVC6yCYi`OCT|Ypo*}JoCk5|93ZidVBi%S(!_}jQ{ZKlOskS z8PxCf?dkJgoA>#a2iBL}bIXuF4vqij_ThJruP?jmx?7ju^w*DG9cQR|eEID4C)(dQ z{`QOfhZU<K^_fOHK&$VUHed7Yz6a87+Bnep*>$$X*?|u}nez7c{wE*2KQQ-^4@;gu{$Hyc z+lTaj<<19(E4vH6y-0O_Tap+1cfZ|BuM2R`Y#MjLB%^UGa+SglOSbyX9%Ntv!uKLOItT_s`4!_U-sD7SDV3{nuaoW~@2# z<=;!n-Zd1mhXU9{FM08`XE8`p2!g$DaA~7h@;>^T?%t zTRiEtKBmK)PtWO1mu3&XwtDKKTc$0U zzx8;N)Ar`swGTPgs(qdDk~cPNy(aU+f40WUZ#($VPu7?F#2%h;Ma4Tk_FUYSQJ=A5 z@tOAqUh2E%p&{FUcVl+l*2mv%y!q9KtZ$yZv;KE0crIs@KE?R*2OQj8Qk(aIUpacL z4*5Jrp3A)V($kYUPwrZSymscAC%jJy!R_By*#5%t=BG}a`TTqDQ|-Yu?LU6jx`sQ2 ze8Rn)_bD#;gZ;DknkP<87ChK8=#_jWUvY8K;Ak#(=+%b7 z$Ucs8n_rc_Fux+zQjr!EDNYnA^!|~`{;_Ca=+!qbDN|gFSy`>3!O`Hj*r7kBRP#rv z4pqH{s{SH#yl7Bm&dRPfcD0K(q-2QgY6b4u>|Vj1dy82}8O5%-q7lz7_M9KYqni~T zNmpSLB@4e{9|h5buVcj#xQ+{|37C6nphjJb2^(BwBU%MiU(1GEs*P7# zH-3Ha>#NGZ>5}YIX(Z`cFzxz6%R*j_w4aLWLVRG(`MVIEy2j!k#{2RMn3R9m|gd5 z-AmqAo^tfKSGN2MLyLyKME@$#3tyI_*UMTe^%&{4j&z>Cv+gtR)~DS6Zt-sYef4MF zZSAFxwRul)&ut4BcC!$}y2N3+UAoj^_DcgCw%tKZj+4#T0w3lQB`O*g#ts_< zx&2>NyraCP76ZL77CdY)m|~)7VLW)mWH7}`c|I864W_7*GxDYt^`2gJToqqstTH*u zf2uXr8f*Cyi`U2HRdeot|5NXb0i6k*5KAgISxO(Xpj+b9%1!3d$BrS8Pe^B-#so!G!Vasr^@8ja|^_D8f1}IB@5lnY8bQ9 zG+pE?w`J)Nnf)3>qPZi@dO%M@H6@}cT4Y zg;EKwQo!{)Lmq=E>e^3ajKvHE!mj43i)^W7?*wo z155~$Esp|Mgd^GV7_fte<>jI z(&)g;c-$E{iR)P2ev~+DI>Za`8b@Z3x7Ud;SMIZWH@)o0Zo?Y@qBLZ(AQL^h*=M&S zyM^AI?9J)0pP;vs?CqqZn~C1OVsBqLX41EgiaNK}DO2a_I*Ozv0hHz=RVpEXGLZ=W zu0(tQTpCa5V<D}WNsJ}ZqgL2w1Z^MsCX_3mpjogQ7@OSM9b1W zW{4?N0k3$lvh`zh-5Uvp{+LT+)jEUBO()qx6R~D>JeE+YYw=rvpC)wmR%GLBKsJX- zR;l8cOOefUkxx)$ZW=0{LxpnzB}9$vfH7A#k3foIL8Uv2TGKv`&*mW=X5w; zhRkwXKV;5E4kcP{mpP|a266%32fGcIX$2G%DAEcPjPF#SD78SL+b~Kia1sS3Q2|UP z^w~T~b#!~uQQ+@XR?^FIshBEZxVx5Ji6{(K^gBpm2kX8DS%KT&)*AW>4NakjFszd> z+SJU|SEe>&(DA^qR?5dUtQluxBp|pN=iojHSfmb9wO-#;RL3fJevQRo5|}C~t?TPp z-Kpn%vRWEOgH7h9(%`uQJbQ$o)F)ufMr!I;kmOWi(yXo@?0MsIkC~*Fu1`xzmug~n@zYO_Y?dYCe&ej3&`aU6m?HJfed=c0m2+0x+>+-g2 zt)*dS4X$t-Zqcw70@kJx*5)TWSgm)^q0vH`Y|`tO@>$)b{IRZecL2SRn){T%V9hxV zSRzPB^!olyi9mKVkTsC{g*!%SE{O2!-WUKj%-ZB}F})^VoUEf~g4-|-5^ZvBQP$)T zUo#+eh;0c}Y<AJktH}1JRY$2JXFUb=LAF;Do$-~lY49RmjnM3p5mDnm?(YBP`W`nI!yb)s$d+3S0}qY{81czryh z*7EOCOEN(`+t0=oOw9S!v2ln|7?)5*t z$N$W3|Dj#}7k2tz{KWs#apZuwh7tp{5(7vm+Izsi=b(T0)Bau0_;()ifBJ&|lNbHR zUn0qA>S`*0wMvP)CdY!K&2h{>rS)OomW_}lXJ{$hG^LHDARpG_#!3rUf+clQ6F{8= zEmx@Ixf1a1Nur>oY*x+c+NaiKt>^CJr1bFMXnjGfp&;H^(0W`2sZ0#374>RGgIdw3 z<~`?oe&ZpvRW{F0NrlZriB>4F3MF2l&^M*6LTRs1S}K&YP4oEXdB#)oOsD6W!^Quo zFRp7S{;09|W0^B49+%8@PbnF$v^eT3t(gs#oX}WlfEsP)`7iUH+eowY2DJiwn9E@K z@ygn2n8!EHGlq*Ft1mv0N4XZM5IWRgK!Jw7jynwV(fDwAj%;ZZo$A9rQi~nAr%6e# zcg=_gM;lw~H8rmd7L|6f&v3{x6C)dywk^I#HmYgTQPikJW#e=e{2*s(Egx_~OAQRL zlX%pf%qoFeC}x{CN&M!mGG`{SCST?(O01IaFPi3`js{GST^X;>(8wKf?5cSZ)-e*W z#ETbV8ZTDsHIXV#B2}xHITT=wk8BL9V*1dw;P`d`pltO$x=|G~^!I7nJM2jc164F= zAGmxyBd@BvQwyB&xfQtL^yY#42z?&3Ly$9Nhf{&YwAAD{ERu<=E;ov0b) z)~HrAsTIv2+Qo_{lfqVMj=|X2!(U#ESqpE06JjYZ~ zNIb_?F-PofP$z(#lQmNVBrW_;>h1U6s8_tI zE5uX(8xZ&HsF|^@*V{9o@Ie?w_Z;18c|oeA>23K?jg}iDzC77d3)y6y33nxa#K0A0 zH7qPo%WbI1LAPsF>Dz~WEdM`}`Rl;1*BN9>of3zI!^9P3qJ)svFcbyqROuVNz(*?B z#(M4u7)SmV@WuNK8#u|*IIOj)pzXN&(`M@$u6dsM)I3Y$9FHL_UHU{X_pyq#-b8~J z;BhnL1x&c{c>x=4PyE`=H3GW9{mDXT11R%+Ht&7Mp&`>2mnch*{KEyPEg zN3^(hL|nnqmPr07*CQ=>XnWANb)#|?tAXF;^XG3oO^b(YIm!A+-&p5rEm?f84+$wD zH7Z-aX3GaaVM`4_5qozKIO}?HPW072@GI`1(%(?&;~-}-4UK#%q6Zl2&9Gz44f*^V zfpCo!zQxy5B#3{jfD!lJAM!=Z3OqvC{fz}5m|SxTUlU7xa6a7H3PKC4u(vn;t@25gds>sjnQi$3yQ`cek z7ss#)1p??1AAMm4@^rq>9eNH8P?jM{5@X^-ua{{wu~h&*)I+vB!l?X+ zB)ra9QC>7$0~}#rf$vGSG!l^xOKC?q8>@RV4=W}E8c5QJfo5_I3Bx)~kp^OFBntt)#&Ci}H906v`i7A_q(e(1#A(II z_XprjO3bT{IS_X(X@!{CCUzUv8l2FkPc#zs6BA7ns&o?5ryS84jr1d!>uN)y3P)-c zrp{Gh`-G9(&sNYgwF1blsWAM93Lxs4Tg6_Q2y!mBKqqKgC0Zxo`Pc@I*8F-k>^^yC z2SV=SUbk^2OVBIK2y?sGdw5%LVtXUIKEgd{z+|!j(#q`t`g$uOk{@=9d z3>x{1U%W742VS7jqW?vs$<|y;G>RC=q*QT`E^sR?1v`|pG!9A|p4v~<>}@%{@2of^ z@tvhM4Qy$?@~k+xQ(_|PuuiFZ)}fse6In-eO4YLtPb9_xK}o%?8_4`H=R@tP%g$^sQS77Z0nI97i_JRggyi%vR_65vsK^!wjZ8WIX|v^iu4$eL z5@Ew^&z<#guWCHdx`D$6jD;&&TE%a*HXDs)RW0{(lQ=`8YtLCoN71H1XMD#+fkVM$KW+207>gw zi|aqsQp=a|?ZFx%LUv&@q*)~zOQILb@>nqk6%{inipj$e%k+uK#qNs2S|W(yEyqVCrG|2Wc^E;07eH$HqEYI}@Jo<01oV zd3UI15;|cR=IR90VZ;tcXrMdT9iOniQT6(20^v7}&s(7j-He=)-Zv{q7H2I3$4Oru zmWmp6RRW08e0a2UmW{?FEhXJNQgMwSwqXX_fI2*inmd@N0h&;14asySxoegP$xS6n zE>I$wo>GGH7bNm`@7#hlk*@d2fKd&dEvxeIQkG_s!?qAJ#+{bAo5==`%DNWHq(V^? z$_&MDwT0s6tKbW!DVAS^8b}d^-3O>iMX*-Cxq#3zn%c=vW=mSkHOv;`1Gb}3KIMbd zhsx+y_RgQ^L)XzDpk?DJe$_l$AR@4uLXX9Raw9e>N0Aj3=6Bh0hQ0R=lSK-Sc39%V zkqNYf#a(YO{@UQ$6PXA)iWD`t-iQpQry-O!l%9rB+HiUr(TT;MrF1u?k9=qpJr~gP z=myubkwSX9oNBm&p2pDEE9q&h|H^Uxu~&J=b7E`$cxc3fYD437s#ap|uP*aXNM(!> zVuDOI!9qEJt4*wtd}w?=E#D9rux`0e_QdN<}KEv4ta8E`@Rp zMXq6bE?Y8}n{th0xk6U}YzR1(_Rx3|C&)+~!@3(9j|ux-z4}lmkoI>1seq~(&8qo& z0`TxN)%Ztst_Sa3J9s74HkQ>E%mwI$0R3`6m45|&7=sTj)B)<{I7(q#^XBpVKvCc? zYaoJXQE8B)T7O{v`cY|R=C{USZSC^fjO`y*Y)2Ja&_R-yV36+(gM4?{@)KFN)0<-Q z+CJO=REMgIP@Vwm^_M zt3-ap7OE2YPPW8gHvi4S$<9a%i4azf(C zV>OU?Y(8L$;Z=!uB3x7hNguVr47OOsO!I$W}`|>ami|$7)NMq>(0}@{1!^pBma89DjDJ z@loGH8y{93_kP!o+Co=TZOzQqt5ZEKrhtq~uA{uI)++sp;Uk;cN>9OuRogOw$OF6?DnR1yTLGTK7g@sN&0A5 z9bHEQHOST;T~ew2iV(F}5u!FKLWz3&G77L_clSqcGbda7V)(5KCl^Ipyl-;eV_Ye3 zrD0raSO>{m+q{BFbv^e&^9C+F&su3QyBYvb^PfDXC8^Mq7IjJu&yz5_NTaoU6vt`DX>A@r2 z;27(lI>$fthG(aGCzEIOWNP6f*)~zMtKLbR_+$R0qT8xWA+3W`81k&K&?M?uWE!Ci z4%;xc&EO?dtw|aaLKg5zYdkcK@fPAo70d{RsY9ELwjym$5(e@{743v3t0-qOPm;Fd zO^Hks?UmC2!v|<|8Vy{l>+8r&)EK#@(UlvSMLn5~TBtVcTEZ~bE=o%ybGMW{eC?z2 zww7)wdwBk%*PYIv8M!`Kcui!1cM4Z)LdT|1$55$%3W#nU+Z})%U)vpcY2kY84j3Ee zS!zx7bIOtNU5viKVf5Ya0*)y(x^3E+#-Yie>yt=e0*hASmD70%f-3KR3zpsTbycP& zJ!5DR2K=h{qYMK8RohsNHdK~oHdmGjrz+=L!j;$Qg>S7jp`jb{XGQ^a`)0Q6+31F4 z?}q$YNS)ozKu>BJEi6+pr)2^T??l5;D6G-YBq$VPqg$bKR?u_>rl{>wUJ6&vo!(Sg zGP$|(+M-jH^R5cb(Hd;qOnQEM7Tuavq_PIvIyVTYkg28Es^vQEO2aB((~J7A_xTs# zE4X6O^hVd$jQmd%l4xb466)zBRfeYF#mGuyOp(>rlFZ6lQ6*CvKVqtR3O~YOp3D!w z(ma(P_Ni=}93*AeMguc46m}&@9_yPCM$%5JLJYZ;_%+yGPs{~Hwq1qSzXc3!sgy*9<5LAzr zLytbXikI?_t}cOm%$2dt6YPRQ{gfui+*PUX!{MKl`hL+CxHnC1QXj!>3aL|@uzM=} z1|@-)k;4Su{cqWp16j~E5p!sQXqt(ek={+pJYKe$*%iCl^fDfvf1VW@FDy9^FY9Cs z8LHcx70s=e@XAe#_jgFY@4dI-mVG( z?yEEj$i$w$hp)-|2s?h{od=IWKqruIp)i-p^(7D-Ag8Q6$y5;d2i3rzBQ+B#4<*n; zSf-Ei)?9o~me+SR)y0iOpADw9CW&9mP2#JuTtSxa>Ro0ZE@oqG%Ti$Te>iQk;I(sJ z8%KrPgKg1RQ?aeN*d8tx>WdM6<5uFq)@UqbD>jAf#paMu%!ks7jk0}&Mn+gxNZK&~ zek(5yhi+omlq>{}{GGW=q7n0EQ!s`_d1l1+uzial+Ga=K;OM43$E|#GnQ_1JUe0?t z+V#EI{TwV)_TEiXY-;lqdxaXFB2=jLQ_`UM0(1wi{SpB*Xw^O<<;7|s6rKkV6wE=N z2PT9HS|IEr81S0kifpGS7?d}iw>(6)K_p~}-Srh;wqHS7oP7*hhl3yiGG4qJ*9qkF zW}k@hk!{oHY6mZo?M~#YwpClI&DF+gzAjBusEmB!Ct()}?9AWW3a(M4zhEwG90$(^DZWVr?&A-v^R|OiUi8@~=2Oim;-;|GWDWq{Jq;V<4xG+bI z=b77&eUK;(R>k~r6>9J*{KjL{ICAd-WihV$BJw~_UYN8g;5OY1C}b+~^AP15eS zN83$w+%<@NG`=JxjQO!E_WqwBdIeAmXIy&$`F((a6ew|zBfJ<+81zhjANB(HeH=D$ zC2Y(cH0A}wwGU(CvsZm`8p8j>Nd6xNAx#P*-nEF~cPz@g!9+R_Bt*Ee)y&7{`f?DM zZ5mCHiKG*o=nJ0fiBHe3sy5YG6LWs-ZJnlbV%k#C(JFu`;;XHlawI0a5(9t0f@yCh zQ9x;{#7c)|ZUPH|JZ5jF_9HgtO{MKjqC$u)s1doAGuyb4JgR7IzR$V=TRUsv&!_pW zG#9jo3!?DnYdjN4Z#omnXg(9k44;W)f!z|9!U>n6htyYnFW!|P*y=C>$rM~YSr8Uscu&5 zHK-L^5uwbPRO{>twU)0l3H+5K-PXR;Gv*kRluE$}CPNlb-6qhZzARjryI*)=m`p(k zS%Itpb!Lr#dv`Eo1rZFuaS2~-7NoD;W^;13UGcc)D=*szb!v2wSPSlFP33+7&WIU2 zLcE$5MlB?P0t)S;L8u@;#j6 zVA9#5nXyGTKUrfj%}O%&xrVQ>X22#%R+08#DZBCQ))1 zMw8yWBO|;cvwlZb$@H#SyKwZBto@w`7XaYhRVmwPW{*7>r*;RE+`-KYPNS9e!o%3d zxcc*vz~zh#3`}+;E;RFJh`{+XL?GKQuUEn6MkC4@;|of7r7I=4#hyfk9rVB`;c_gy zrkTY}B>9|w+(D)UUw|=@34fC9g%C9$nn1L$$C(kZ+(Lv}iFpIu6e_VYUJaLP zkCU+`BjhFKRHhKHpwvRZD@;pn$T?P2*RoBF2B(rmR_NCHGi~!g>b)D)2Iom6jRJE$ z6Pstk6O0*D|6%9~eN!9nLJ1mL(AH>`A74+>2rT&tOCDq;Ey!7${Fim%yW}R>A(QEj z)PnT!M|s3_*u18xJQ6ox$FfGB5w(JPA1d6bVRvJrX`&@X!0dji@?lh9uk_fs` z7T{Htz7POS1ZA4lQobsD$t7j*)gv#xo*Js5^4J&EQ-g5+W({hHzn($E8USBjfQm_7 zLHI=}f3Ym&Q0ICPWM1E764mTCX$wLp2|6inlXE=D+k@3+tS6@+O`m4cG-yO~`x*cO zNCxAS^4WoGIPDjpen)D`i2!|d^o^o%%KOo*gYo+`0?IpO`G~R@Pw6{j=iPl`@en-XAmJpA_p265CR30cLhl1`#+$lQwl75&?aT1<;I|wTxUU3x51B_ z0tgYVOH+*OrMg4i=uRBH!^}adP7e~7k^)T}Rgk41O?ro(7}`y6aE5!cHf!wD;G%)~ z02Nn45b<=TXo4e9(j~aUk(_2Tkur=77vMuQl|abnnM#*sGc66XzN4sdMyhOJoF+bn zj!qA4QW5H)G0Z_-7$&+;#>yCiNFX?Y>)%KiGYV3jhD9SkMZ_4e?%8i7EOuvWKhmH^ z{#5ccMvW*~ljSjW_w&I5*$94PUyevk@EiLw@C|VDr3#rLJQ@=*?l%St$cT$H<4jCX zf*f`p@%J47lgB-a$ViT^1_-2(rDzg>K?X>KuOvkUnuRq@JDYc%+I@OYNu~xK7?qf( zN_Tq$zJ!kCp{OKqP~@0~+5j1K{912dBeepD;_J)3fnPg8o|B>VLV=>lFM45W)HE7Y z7y3wjPl4hlu?X^{rIS3l9IXuMlu1`UJA(>~o{grK7H8lM_z7jvauCxp+%gDt(Q=E^ zi1~7xMpZhQ5tsfM0f%2;PCnw@`*9TxXq+t6ZaFH zffoffXwA9aAot9!}|!hlC!~#s$yGd8$iJw3xyY!ubcH z^@Rsx4TVp~8w;O-=C&q&Bs3zqb4YmBd4>4rreZ)(^>Jj?Om5P3qpYaks znCT&0vnv+3h%374@FisLVad|s!#z7*<{ozFFYwzbKAfq)fKwDwcCeRW$?SerawfBH zRHd9`b|1xIBl`nvN>T88DH!QAbRczH8N-ArSF?s<+9kOqW>u$*_7y3Z>O5q!{q~e^ zj%fps&3B@6CFB(oNbigN{oNo33wCbR1lcAbi=15wR(#`(+bZo35`#C%HdaFRSb zs56tba7>S@mFbI=3Mu%Ip)$!m%p zIM!WGdrpR^b`G5N`ldq+Vi_L+rcg+}xCkNvP7gT%ZtwL`DlO4X=q`Yj4HPX-lHv}0 z5VPhVxH&6wG<4KcKmos6XW&(uM!oVMWv7NPSDe{xA^8_-yWq2F591=AX)ownaPjrY_ngJ?N5><_5^l9v^X)G z;4n%v-)u144!N|{< zMTKKG8MDqsHZz*T6POKIEe|6p1lC(H*5qA!0jy~xq6NED9!Mu7dcb-{DdpX?>86!k zt-{qsNAFuyB@Q zba3`UoQ{@Q05sqk5kGVe0|+2Xpz^pQI0>J{44995X#1Z;l1Ctdv-C{$FyDjr6+lJ5 zCGky9$5s`(4Vks`j3(ZgkG_=tqcv1Vhrf7zCBU=HNjO;mQTv#Ww0}tqK|`j4n1P87 zfxssMM8Jgd5SbX#y9gK>yCCqRp#wMa&mUON$%gK1;lUgvq%vL-qmIe;-~AGmI`k^& z&;t^oWJ9)|f(#VR6sD6R&LrcOE~}AwIs<{x8FYXb*vj`Mt}{q8m>H%zX4{ zM8x_QVR@Qte;bN3H!p!1Ucn^hS&IFJpi05^bnvOe5MR@Cj z&%G6B@9$W)3OXr{yFv4Urn(v(H;!>EYXr^Ep%V73w3Sw&jONh(R<`cWEJr3LIg5(6BdbX^Wrr+6&rRC@z>4t+J{I=Y z&E%j!5sq-h!JWP0PKPVT=x{}9fRwbTtC|%Tjm&lDg;yF=b~LpEui#e34q1F9<&Z@> zToE;(ll-+G%J!WE@h(EBeK(PveGlu%UiQ3?r9VYPY5zUaF|1)aoXht+MwLBv0-|LL zV&1o^=$?N7-q?`h5t>ys{{WeMU`aiV;vuEA(r5yEH3VBdM!s}I7`r~D8v-yp(!DQ= zhk=5fI(Enegm=B4Y+r`O3zg5M8-UZX#r#iStI=M^;FXNhPBz<5`$RaUfZT14@jbk+{b}=2dZV0QDRH_Hwq#BX>H%jC{;#24I z)s)CVa$3Z2K-zpd@rdVVlIN=_kwe-bkULuB1+T9c7^P!AW;1ZsMmP&P}fwr0EpFxB7(l&66aT>4&+ziv-_s5`y0R3dwJNU45eTv44k7XxcQ5I!tG>9kHZ@ z?(f+2sZZFi3Xr12v5ndfIbf^TWyS{GVoy${w0=`Aw)OCxD*aWqqj(*b8mdd%UImS6 z)eMF!uJ|g1w^mV2*m6nMfKV}bza|)<8Ru7gwa}^3fLnM$tB}>sYK1?#UM(i%^~?Wr z%?+XNp-umQ-x>Vi_Kr?F)%8x<-k?N!W0?Ek*B8IsQ12;yru3bX>ls|dQ_maJP;O05 zG}JezJ=7PL54eAj)R{~!AopL6==HlyqlwfoM zLe^Y7^+!T~3SM=Y?OeR_*R3Z3^e5GwcByQS(>z98mOZL%&Xi<_T?6!)1R@ut`fA`0 zaW}~F69yBevKH(zUX3#iMl_rOXNxLiHIK1tTxrD#BPs4C^{I7HNw&e*qUI6@Ms;K% zmbn6$R5K8f^Apg&WLh0~NDBtsyM)|3my{q4^B#@PXWxNHctDf)LoIxu7gLeJ@J&TG ztPT;wqWKNMJ5|K^XfOUHBzMC*m9Nf(B%Q%_G{1*;n7nq#cJh%k)n!V?bSdP_%*JVI z9fv`n>bmsMlE}^I#*MUO-E=?aztQKv2{U$4bLAqghw?|PY9nq_`KY^%uvf?Wm{HsNfjSjmhXY1{qksNnd|!m zOT)oCn>VWFWvJoPEPlZuXS$JJ2;1%V;ERSL!Vb7xRKdfq6&S+On`)72h-j z4&TwHjw<2ii7F|;?{lplev)2KtV=y{(ae@tEj;+*#7+@8xMu{h+j!TC7K{5{cLIz`Y7h+-`Ex`ya{d z!e9hOh|hJHR6i(XhS;AB+%^n6o-=D;#&NRVK)hlHDMIuIjYJfX23JC)@k6R1jhofE zPFc85iA`C`S{F93N9k9xaKH9+2P;iFl6|gLRhdfd*h^3^`eBE?3r)#(h~U(ZQX{}B zg}yhM3Sw(c&o*Drc3n!g>#4#Ym9jYP1p?v)s@cOYlt9l)%rjh@eBCZh&?N*~9ITVe zW*pT`quE+&&LUyBQ8h22Ny_#uT2y{PZ$TVQWap41-v;-;jmD)Wi_gaT$)g!>NAQ zjGI}G6L|We{XE%@!+ZjUmAE69{R@c3S=h1WA@4%t0Nt~V>@T}+WWx`y%SN{Sq`o(; zK=c!G&>}laRomYd9EvAf->Jq2g2#cj*?zu_`~+gOt#1z~9(Kc;ANEYZXUf=l@BVf0 zvGZ^C$4JsYN^I^Jj`B0h_SdO2xghk^T@dV;o>fS*;r<47{f!_2(Noc!3fNe()Aa>A zD@t-JdI4pD_EwGj^1p-!50*Vo-_2ufSZ?8$Kg4_f!h8PB-^Q8w~~0EUf_;sle@if}ms#qv`l1&J9QgFZgwLku=HCIx#v z!tnK+W|k9>|EG)=tx8be1#+T8|058jG9oNuW|gEmx1UOC(00YVQM+d(<7V}Leoq-+BVo=dRd1)Ed9WIY>c@2%h0@MPofur(s+uCM~R!eaLVhgs6rvM>gCmImMs3hJxnV*B?V z*#0REkWm)QaL`OPUck%jctaY0lPh3g&pdmEM;Fqu%~BG;S|ap9PL={Sc0#~}lq(V` zW>p#*fY%qYThMyI!XB-v)H7g(4;qz3^L-gn3w??H(fZ~$sfGyL3wkM$V_-K*1ShS* z1M=a3Y}unka8S=)9MmIQ_9>Cq!{t}#Ge17l)BUg=XC*N{1;bByZ^y0gNjcz5dLT9P zG{AQ>(~D*S+6oxp#6vF$?%^@RK!hzLwA$H)5*BWRv>{oOtT5zUEP1>I@r69SM(p+) z`J1oB6lds6XV=cfay!T*1En$v7yvAd)BT(vV_QV?YBFo=${syKpjE)%k7DPC-Cb$#X3(yI$Oab~= zJxd=}6WoRL(Ex@z$&Siqpy3?p)E)pX5BfA%iPeN}mL67bQl%Yg36T@FTCnISQ32O5 zDnYry5Pv{tbaE^}&`J*JToQ=Qr&-V~r4^JMk|>F#@G8P9l%0XZAIibMlL*DahZRxK zdOxHgNUxOigse3)~!8IqB^@xKAya zNupFD9D!J53Hk4}Q*009IcH+Ok3DDy98fwUCrNEb6o2Z6PO&}W12Yj@uALgqVo;$c z!(zZs;-y4#@-S&;y*BbIN|Od5=H3N+)5{&F77JH0L|uvA+NifBBuyl5np9 zKl{AEcLn<7)u}*_B%oKWzMEgUfnT|aU%8cE`P@C6*sMv)+-r~GkSuOd@*FNv?~wZGT4oN$>Ts%66j zna?~%&y%gYJICnk-chVa3D&1+2`6A_s5_@Sn2I**_=URuCiQT42P}HBIgyNdoH@jg z;OAPft!K$#;x$>|H4^%AmA2OoCWNVtQy4+xWkiWM#F@Xt<%H?u_HW%iEHD~Kl8HOT;$B zmuxn!M*v|11qr^N^FD$E>H2=#dc^HiL50A?LL@AF^bmkdh3BdbIH#-;N5D1BtYDSv zQ=g(tgzHn>Q#K!d_{f&mA35r+>EGdBd>!y15MPD^5%JW&*YdTzyZcyYk1JAojFE+_ z=6Z@##6hgrsEu>b6ndLDcP5EL)=O<5XmBXK8ZKb&liALm8FaoUJn)dt-!Y4EELe|_ zMp6bm!r^(5J^ySJmuL)^SR9vlYal!QaJKzOw&nF~P5td%WG1JKk7Qvn(SdfVCN_%! z&ZX257M#RJl)IZqa*z-kHK8TE27FbM-D2=PI{rB1cm2SVRl?BE|KG#8BhV zI5bfYt2vz+c5V}t`K5C*54dpV*UrhDcb?1$yOAf*en03E9?3CJf4!q5oau*tCi^Mn z(#Ho9iz^$=z}@>^YRSy+MuZN~xv;S#p-aVgdhacP1s^t}okZ;NdY zSy+lKF9d4y)x9D2ECKU?xVA)a`&VB+U52<(->ye#~ZCEQL4 zcaS5Aa3@>(@1lfq{8q8=tLgP__T@%>%D_;Fg>55}PEutbnOlq{>rQa)CAi;(`%xlO^bmKc;%bJWvwHInLb)*W#p zeI?6~cINGWc3Yq7XYr^1_V?NLY+jX8#Z}dMYq>T3zscVm*=+l!a7$#1Xvlv%@^-NB zoya@E!gnL@1`9ukd{A3k%hf8Qs-N}0?eo90(f_U*`k?Mv?dW(xq@&|gRZ-1RZ4C$D z40&BV@bgkKn#ZN$+K`v3!6u!C`H%AG&$+>g3V&}0H%C$uvw3|dAQpX;SA$CN2OD&7 z?olh=Q`ujo0?mVb*!lLtn$*-37&^C_)SJHmt6z`d3Y~QZF>>q0OhmOigBa=cO0+Bk zQSHf>G(@#0U#uA5wU`E)G&Gm8V~p1-@kO4|9b0VcQVEIV4%)tB@`fy|Q(E08ZM4fI ztY4%;rC5uJL3OCoA=dilH*w^0b-(IdS=f?TK4sy-#G|vXk-uja^VS#cC(_@5-+dZD z5FYPdhOI8m@%ev+ILXjmu)Nn=sO;1# z3-)*@HX3Wctu=HP+wfUManLwqO@YN$eZ8Fad@Rz9wU$u1YgS}68QSj-t;$~=5rc(y zN4(mm$nYu)=`5HE*lbu0EB@Urbja(Nmd1P$wH10%9Zm{;aHE=m%HD5s4S;W#9mn`R zh;%#MPOIj-_|<+32BMCv1mejVa9^*H^OvrWZ(bFuMMvFS90 z0wS0`*xn^kcr2AT6EXU7V&uq27BTv0L|cVZ7s@UrNdQL3K-Z!iH2jWWfzHjLS}-s$ z9w^-BD+&+zpDDVE6*Zo>C~AhmvU4nd=eE(R>AXd`$;7`nob@25{6S5}n(%XA(A&U9 zJw|@bbn@_HhrE+C(+Lw?KA7S*_z=y;eLL=RaDNM4TG1TaDJL_0;jBR{I%a=NH z`(frj?>n^d1r^Nj!IZs@x?JgM2neZPQ)HX^ntic`C*zI3Yi-)uRwF*OOU&9G+!Ndj z=QgZ7+eEhtz^ijRRe%_V4&kUqi(m*-2^hY%i6f~5I1!4_Unr{O%ULpPV{4|fcqupE z-EG8XB}NK=_LGXhRz}!iVFlQhiF&Ta+{A4x?Z-qt1u0CaQ~U%)G1cr;n|C3MX*a@{ z_JGr~T40oCciuZ#`{PFE4#l+^rUiKRGt>)@;b=TUIEX`dER~4P31roR6S@%X|33#~ z)Xxlt_b92~2p>86otwvT2W&isyNw+~;_P>E9nn=HctzME4jilo$90^+;OqyM`sYqr z&bL&7L*Dae&B0YWkrT(~^?dX>A5N=dM~Q{IM_~R)dYU391_566bT|tP`dmJwdaRf9 zH#l(m433zB_p3u8dKZ~49LC1dxx&xVlCE%nSI_`#Zp_kwUc%Q=Fsn_^3SU5JlS?gtnl+OW>+lhyOZig3dRC4+ZsdVCC)5-!&-qif`^Rn% zZv2DK8L|HOhmGEiT;BK6PbxlicmLR3|L~3W;VbE<;PyNvFN^Dr|JpwT$8*{Aci6Ay z9zaSFCvgVenBC2h;~Y@FYBfG^JdY2^hnoR^Q=HH4h7UOgBQIoRb<62t%VPiRHnY)S PFx