From f8ddc05e13c4c6dd9d725bc376b287f307eb223a Mon Sep 17 00:00:00 2001 From: Larry Finger Date: Tue, 16 Aug 2011 11:12:14 -0500 Subject: [PATCH] rtlwifi: rtl8712u: Upgrade to firmware found in vendor's V2.6.6 Signed-off-by: Larry Finger Signed-off-by: David Woodhouse --- WHENCE | 2 +- rtlwifi/rtl8712u.bin | Bin 122328 -> 129304 bytes 2 files changed, 1 insertion(+), 1 deletion(-) diff --git a/WHENCE b/WHENCE index 456de93..a47b307 100644 --- a/WHENCE +++ b/WHENCE @@ -1611,7 +1611,7 @@ Licence: Driver: r8712u - Realtek 802.11n WLAN driver for RTL8712U -File: rtlwifi/rtl8712u.bin +File: rtlwifi/rtl8712u.bin - upgraded to the version found in the Vendor's V2.6.6 Licence: Redistributable. See LICENCE.rtlwifi_firmware.txt for details. diff --git a/rtlwifi/rtl8712u.bin b/rtlwifi/rtl8712u.bin index 3f965a652d1eb5ea9a2101ac093b9883af0822cd..6a6819b06a25f7397086965d7d111a2cfa8d4690 100644 GIT binary patch delta 48199 zcmcG134Bvk_Wyk^>E1$G(o&i(N&AwPQZSTKN(m)N(^A=C1W^knEd^u~kx_IQ6H8fi zN-4dC;xZaTVO&Znf(|p|QV|$+_%VpixQwHSIwFFUZlW^e|2^*|ZAE{--~abt`uU{q z-R<0S&pG$pbGP%}|5Mnm&MZahjQB_FdRuiZDrW{wF$-sB zRO`7b@)O%nVr|tep$m%ZDd!CTBCS(*)VR);zTN+=N|F*kW5B`O9w#zmK?T#W$@}i( z4@NynwWdcuOtrQ~pGAG!V^HhC%P~U)VLku%;57U<4t}riJw@}i@*a=s`>Ol)8dWEm z*71q4I^n*9x5VBih=(um7voaJmoD(X#}$dTih~J5L_vIiEKky&6t3{Ev^U`Yu=u;< z9Se@`G`59W7ORfs39Lp!c&~#$6+cOAzrfGN&p}x}DmDG!`~_(}Bi<|g z<)QBXr10#-N#fB9ydkl#aQLt+Dm*$VSU4gjjn^cV|0e*RiXXzmbvfc27kIv|4@iqn z2J*M$ejva4F95Wpqa z2Tl4yLC|oQVTk$af{NNi)|?s6?0KeeNbrJ+mcyu7FW0P+Yjzkar9_pO&scFwlV^*d z&wL7XOYFdYfvKe7tXLxgN2jht+E}o=USOgW!zwh8t)@#eh2gwqPWa&Wb-vnDy5?G@ zR#||noZu#ejX>Dern1zE_PjD6%Gjb7Y5)l@3barwMV2ZlHO!ON7o4gyB7d8zGB1*; zL52Vr7*Rb?BLn0Ig(*n!2GEJ#9FMVj2+7n}$A+ds~Sw*erQCSRns~B;`3T0E#V(?hm z!=MszQN>u?c)+>~u&5$Ja$~`}K2k1t_Z|MQqJiQG>BH_1D}42Vf>dZ43T3y2SVpov z=v*R5>+>@9ikDjB)YX8w8)W8=1#|sKrxy8Hv6=F^ScO)vQCV8X9cfr!aIB6&dyo#& z6dY+an5|4^l@KPSf>jz&li29~pYpNZ|A3bG2*m1Gv@A%h&R?u0ehMs9+UjrD5=)6i z0$8+e+>xEsAbM9CWtWJ;j5T|a=H9!p;HZyU*bBj@RzyQ!DA~_8nBVYMfCV9F`5vid zT(j5MriRef1Sqqir3YoG^~tPx8-z1`m>@U zJGX^Eu=b!2{S0rwnlF)=*z!4^8w=WfyRKH(ow`sXiL3-dx}*WE7X56GSrM==&3`~X zLY^u+$7dUdhF2kmLcG!Vk?{S&yG)w};a&b+W`=Npr({itPnyf3jd}-)h15l}(4ATq zw$sH|W~GVG8hKq-gC-=BMH5Jp5)H(Q>)+72lhN-DTRe;+a-fH@*rELrJGfuZp5L#? zVcUMu6Kd&R_lo@5Fge~0YQ?c|aIS{(=tXIu4c2e`=m{+4-SlmqS)H&W?I7bR!c$Z|* z>qY8;>1d_~;t{rRLjyY6PJ!KR%1*3=7}@t~nZ~4HqfOm@r&eThOnogqlOwrF45$Ss z{S{im8kNe}>zGwb;$VbqT@d%=K^|-MdX>fhiCQv3=p~Ha(eF-v zEIT8Ch~GB1MtauBqKP593dOp?)A+UQ^o)lP)vg!WqkgN_u*E84PCd>7>`AEg7x(bx zT`3DCkef*L>`e-0gfR(Un@-$GPyaQ$nfQkAUSi8MRt;)dy=6?H+OPb?278_qpxQ?= zRh1qY1!HDJk~=gCoj_yHyP6@^`7fy?7H-d@I2u-opoh?cv{}$OXjd`i#UBx!`hp&W zCgL-;v0n7VLWV`j&vu&$wZV{mr_N+jBTgs3n@vt#y6O1D6694|sF`IKYXpgR6emj5 zu;UYtd4oduGdTxl4DkgipvK@Gq`<>Kj|%dqbC$;LbXChzHW>OInX*=L!^j(E_j53P z00+~5%E174+jQyZXll!RFovi^SR-yPwUl&t0e@3!jBaUIciIBVBNv(+Zp zI&~y9>xwc=7CwGdW&tr+U=`-jz)(spjD{6LElg*{vZlG7@vuxx{7g>bQj&mFAWeP8 z3}d5lyiYbXXe6Ts2DL@=El{%vFAYc{#eW-MyBdRuCzl485b5PWT;gnHz=?^b{;0O_ z6*oxgwJ6N%r)Y-}xu{W$sT#;GBb$tRRXcy}hO}FAMpvS*9)ucI`YVZ)B2L9EghQjN zT2?x7vdV;=f|6}6#dfb`PAv^?6!!<0!%Nz4hief==@8&}u+SVQh|gy78KdW=L&1l4 z7aVJGjaHEH`4v+nEf8KB&5w_+P;$@V>vM04{0JooY1e4}VQzNB`(w$-sxhzNF=HmD zM#Ql2Ckn*9WTr*P0>8;jSXkNXIS*guYsRFzXVJidKMYe6y+@jhSsVI{=!rtM7x(9( z(bLfAGWN=T2mAeg3wvRI0mc$DlvWOikcB>_{-)9x$?j+r*^qyA>a z4%kAC;cR&$vxH&5e%;I*sBT&WGg?K#Sa8A3jAbJP#-i()IRZ<-;3`UyZN<>eVT>i}HL@>Jj;hymiSS zQMB7FTV5tVn*{+^jd};85|Oa!j7l)OJsJ&cs)ej0xwv){=x?yDWkHbW4sy<)=2^qq z{Woh3R;%dIB%u5(;HR=I`e&u&(I__B5B}o%@BNPY@BAh8VHg*~^oztR{?d9fod>fT zb68dtEO6Cu5Jk-f!{RU|E@CV@goT+Gi$sLMFmH{CitdJvp3XZYYe`G+A z(nFk_PH$JiYM2jFEM`cd{NMR^iW>*^HdbsLGOdgJ46w%NV zin`*VGYT%%Na4%@tw&RpbWB@C3ypm=kJhq`RtjuFY&h~q(;)~ zx=VYc#|HDx38vvx6ZIO(MP?V7V24lxrfcFb)b=>ChOnxJCERAYVGzM4Ji=LAt3l7d z8JiG|YUD0T0C>k(t()*eC2}P7PwJP{cxvE4DZU_9L2`H34u<3cO~s_ukV)&qkSAJT z%r^$hSQAz9^kdQO#VyotNn~iyp}uNF8UH6(vI4tNHs!x8+_c&(X!%i#X38lr%(e~ zx|)@aU~7%~X12+uk_U~AHkGdi@0v^zSn69NHL8KNHtk<4#K#3IBm;%uL}~cP6K4ol z`N*OXLN~vqXhTTHr5e)`{%O(8h#fy^`NB;a=%OsW5X0JKg|HD}%!Km)?AON+jHi5R z#D@;ZCm_t&pId`482fU-`=9r3(s0q5Pm_;At0_AuLL_Y2Ol>L~w?`TncWCY&i9{@Y zE`QiMuW05R79GthTCFVBMAB@?vNFmpB1<%@gk5MA?MM?be_kf%t!$+{loudUZMiY` zAzA}d!=Pv4oqT~UQ@D?Bw58$y9$SVuHI~0+D@^g0*O)H*Khx^vsuj9fZM)^E0&Koso~!Yxbq%Z^Dz^J|XmxLCk3=+8hEs4QzcDx|d? zys#u!*vwa!6vuyX%iWRz0w=I|X>uIIz{A*7eyk+TxUML@!Jr=knzxJH{<#`rOE4T{ z**YFkI)*4+RGKjC`4kqN%=Ti!W7W`t6d~o*ZI`TkW$B2>bwyUM9_u7nR(Qpce@jr~ zkiNJU%fib*3W_^dPD^I(A~l|Bd^*s0(jm0ERc8|$=t(VAW(=ZlJn*KjLnixFK6>(0 zF?KG0U~)oI5F?r>P$PleHY}ZxbhS=S$_^&O0Mr=togyVWp*s}`E1{K{Z6rzGr|{0n z*~FIAveaQDlLoyvM-nynSmtvG=drW0S{GS}3A(1C8FA6bW(INm|szabIKj{^lbT*e{*Ag-uLAdvev=`(|iTyntbpC9H-aCChn zP^+Q9gJo0(gVn3?`}acVM9bRS%Ve{Hv9tAJx(asMamhpj3tD59E#xv_Qrsx8FVU)F zT2tZ%c>pqKoFVKDjB-t93Iwg&3|i)37Lx^aL!&CjBlOI8i^1$=cAd!9VW0s?SlGfn z4F=fCDuAaIU4s|;VvC0*vNe*SfUyxk&9KXZ4l7#17v2mM6D%xt2s6yOnbF8>up)n% zRWQuA3OS6$6R{0?<_3=G+YMQnVwk2H9olZD?GZJEJDhFEQnMp4jK7qCl(z?S3bIKB zOjR{Z9R3YD+4~ERn5-UDf_e>EXV{xyItf2!tUCdi-Oeh&)w@k$3zZ2>wx&Y-kXCJg zutDPy%}jWe&zv;eZD3+7u)&{Q9<)3_WC-=JtfiQW`D8H(B1p&?62bXCRLmh2VEi5V$UEss*&Z$px>a#5NyAtXOBzyK;DCX|J^*&onerhP)jIt zNLLmfm+nVAQQL`lN+;AwNsqvUGPoc?HWh6Yp|iT>X-1o>D$hwq9Htq&=GeiCik4ln zU^lls4&)dEVjd5xheGyGvYXM+7E;s%oD@g*!o~9PZ#X-m>0VeRD{RV(vfBV>8%;l` zQZFhM0-_PaN}-G`Or*^ivH&~%rC9N2;y(jg2*N6p{ymt_RMAT^l^NTtRl@(F<*idk z(ct&-)YMQicrBOtjM)i1aay#jto4k^LpRwTWP=0;Ggvy1VhZyq({7FTLeG;HrJq&f(LASktMDTWgiM|K&50oj99 z3>>+Mx;dBkNJ@)aX5{29!uU-DWwvym8iSRJi481Cg@uv|7HZ4b8;USyj19CoL{qeI z8;_isBx-NurkSZp?}3+O)iVf>5sTXL3_@kk>z>CK&dkTiuw&*3VJm-a<`BI9ZDyue zVB^l^pErbU0GF!k<=X2jm}uLB5eqArm*p7>IAs;ziRbsv zGA&e!Z;}xbQPinBE9KcRL$E1Jw4|h$28!j_oZfdpZ4$lb18Xxo;#qVq=A6O!AUr}R zK7n7Gm77X4E!s~tU_7cJt#?=%+K7TRB%kSha`Xckc#0i{n3b?SVk>!sdcJ|mLk%Tf>Nx3X9Ht9ApndL!NGJE)Wu zn*Tr0TM3I5)~u~dtr=Ufm!d4hqjPxDAQzAU8iovd24zgUVTzC<|31PfnfdlFNg%t_ z%Gz`l@=!!@-;v?^OtdvZWl!DqCG77I$pf45zFZX4U>45U2JG|i#4=dazA=C+*O1I?h9EnyW}HB*2of*D7_b@4X_;&ZNxFABtGT^C;vh?lO5 z&ke*UEAcD)Djpk1NW8AV^gz7+x_Db4o{*158WBT{1`MZo5QDLrx}hKeQS*yf#h4~K z!hWH_fanNqUKa*#`X_y9{>cBOM3%Z9l5ronZb-B;JuGu79?E=KYeD0G`)QqGk@SaY zWc2MZ6O)yh1a%o@CUFr0;MBF5F5ZADvpnLL2rJ99ixhqh1CDnB7#a79{k;*BPAhvf ziSL}3Zs@W?li^Rmh)TPH@o36|K>zq2u)6pM^G3w%Lc^#Q`gQWyn}?Xr1r!IBC5tX} zK`9I|+O2pUJ)_xlbS8~k{N&uMnf(Olv~Ivj1QFwt(gE+YZSqpabvBRp@Oe2lumv0{DCgcgZb%8qW z8&F392Hh@{B*Y^ZIO;_p{@t|=T8S+(5tFiihDn#NDFd{~9CDAr2CkU{H|(Ng{!(qO zN8cfMQGf?Rh|=SH-~6HRga-EBTK#^tbRNb(%>v)x|D2ze5aj+T0H*01Bw-sAVZtq` z@(hmzBOLI_SfC_>z8;GNe8w#avEM^(46q&ON6ByUU*3|ILhwir$D$B>Fcwnes1ISZ zAS7C@@dLL^b(2<3?8Kx4n&t39wic5Q(W%>Qy2n;gPsAftOf%3uut5;#Jr`gJ$(;bU z<)ExW^s^wH+T!R7pOM1^i`YBAFTTQ?N~0qFB3T9h)vd$ahrTGTrSLE;JH>+RgwqP- z4?(#XWrixS2^Mv$ngOt=PKs9}e%FBbs~Nsp+S~MhtCm;HXqQ@{JFU7YCudraA9dX< z?+6o+(7p;Qyz=HKoe+cCrft9=)2QPTvb4EFn>e(wlJn5$V#dIo$o6Cg^@JWr3aBXz z)77hqO`Riz8C1reBV@aSd8nIFJwIB$8m4rk;LI9(EtrYCy5Ff2O>H{6ywJ^rV)G#8 z#FWHgj%FSW(-h-y5iKjKFp`NDT9%9kxoJr<0$tyrr?FRMa-J9S)P)s73vDL4X{(VC z45qw^nvvQP+>NG%&EUc_?L03jIwE&O+8}c3%%*~(Bee_%b%=?wErMDKip08LT%z1r zC6rSnk`^)if$f^NCzh^~f%(}nvjG!TWbzGWz8oEOCj5;l60vOLWk)B5`e;r6*hJBH zbYh5n9*RWR*;OOa;Xg~!hFa<>w+1wvQ)dKX%|J}FSRn2ueKl(wzq_S?KUhB0O~NU6 z0J*f;^l)HFIk@}nztq3~PjQ3^6YS<$XfhqqSdOMt6@@h{E(&j0S`@N#Sy5<%5eDWo z?3xp7nx<_5?4uLId{ob|iQ(9+RwG|X!}7t*Ez`SAr%Z*JPyw#d#8TOVzMgY82b%P! zGBg+TCe1?#7ZkD9fym_o29<3D*9qSnAQ=>%q9X8iuV-7{o^TXvOZ9G#Q8_FGC%6S-pf{{|2DHZ})g zs)^SuJSQGb;;U}Y9n^}r5O&1NMyr`OiMQTfA^t0g$2q6aW1;gN<&ny}om#g}$HKpd z)$l%+jx>1BC@2O^>^afk$q#;?MCn(MUWA@_Z9twh@ElxY*Knq1lw7U?8rz=p7g#2Q zFO|C*D0ks!%3V;(jX;GJIQY}94FVhlnGXWohj^-(&IoFB{CQVV_TwuzNLy}lNF=n0 zm=Qr>TOMT1vb?;}MN{*ISZh*QPIU34igfWg9WSaVO<0Q#XhcV{CSscxJR?zGeU?93 zaUAm}SLIM~=~;e%WqJe$)_&$QPvv_mi|ORo`AU=cMi-A;w9ueSX5lKFzVswwLtAq% z%MNsO!>%=GZ$+JlZ(lS-@bEp0GQ{Dd`CE%BB9((a?P7H@&#$_55E)Yjn9>1b>WQj( zaaI8J`)8R~j7x82SjbphY&AM<~x_%ntgpz2n1&_fDxn46lByfK{?R+U@}iy+90ae z^46tUg$w2FR>NUTCD=WAA?)rvr|u@K48?)S*IGlEH;xG180dr3&<71q6T~Asx#kXi z!l|y~(z`N8j$!tlV( zD51~=v~;JOZ=n-Buv@grY&QnYP|LM)MYoHX6Jb$H?%q2CWi5b3h<$Cvj76|J5#*&Atd)Dw6*CPB@Kv=w{xg}ZCtKy&EmLbUBj3sp@k4Qi|= z|6G1*uyVX17H3J?aBhW+38N{`wihH7CAH=VB-E;X6OG5JgvA3;PoViLX}i8YKaO0MBNR`Hqp_xik1bbity6evw;UJXh|p1X7v&!^o7ICdXC0`7lYqm{51H*TIO??L+8HGQ*G?%*=_9Z`P_J=C&YBM$AtOAWVC%L zeh=aIEPj8fztFR5yU2b~-_i4XJlE8B_w?dfSAV4^zCmRB>aX_X;duh{shRk##;+Q` z-T1v-&kDmBv!jASr z8Mow!Mo*kbXCkxEk2P%nemyKvI`XvwOBZHY!f__T3@*@K1s#iZ>Nc3#3oB})S*$cd zlP-=$TF_XK=FO2t&AS`6fnq$E=FOEA$?CKNz}mj zFKHSLAcXvUtPAZgH4MgPiQE;O5Qab;=1S;&1L6s;!IO`Xg5iYDp3LKbGY~Gt4@Sc< z|Ig%CSL9@O^i&!z^oWp;8Y9;EArFi3+YFf)3>laLnOKGA9gqb+XXP?s1%G+vWbrp? zJapAOjDQPPEvf2nO1xa<+t*cjQf^AD*o0~cU7|6W_;j8{gC5zY+uKN_!2Sx&W;6nx z)2zxBh+NQ|(mMFaJ2TwKjTLW?OaxkHPm(!^-7TvR0|o8dS+OgF!_p{CVLB{&PNkMQ ztUertcy*fxX2(~m;;blrG3~hY!3pO763khI9y!C>jD_=8w^1F6D)m5uLSih*y^1De zy?Z3?eL)*N%i3HU%*kin=@=_4XWh;*tSfPfrXywQl}hJ_Y}ufSn75-(g`Ix$Tivp$ z=dGjlqct7EGS+R))pRYjAs>J9&hbOO?kRgbs@M73mFMyH5nC43Yvu8)A2fO{$2lv{ zw_lENJ^Zz`C)`-OY5+^w0$l8?-1RsTvyoQ!Af<$1dYFZZulab8>#$?RR(RlvFU1?(J z2p+n|VF*K%ry~YMW}--YS1J{`9D(q3$Ex$rZpN3d879>8jcbfIx3SS zmx*!-J;B&j=l#90*=gUhWf#udM+@JwmbjH8;F*+NA?a z{L4-)VLfNZO}jYl8vYyMK>D~?p-d^n;k{u*rWfz<3|2Az|=4Hn}o=%utIs}yPcBG-C z^>UhPa%t?8Rp(iELi*&=IJ`aCn~=?tVIfp;^Dh&)cCE(kPCz?k{O!3Hz79QIF;3ql zqzh|=6@rtkV9D;{sP_Vr18zq(t?rWS?T?5ZY$-EuUb5fHlH*FF-ivCYw+W@2msmfT zmoORVJG(?6p}!pFnp~nm)!we~^vNZJ&h5P+K*A-lDqDh(1tLO_^4s(6rBlA1)SD<1 zTMeEHgn8%C^x`Ea&rj-&=J&1LX8b&U@V8d$v`c5lZC-*_uWY#>tPOp4y;Ho2b)~sN z8&qppXBfZXmxkf5#d|wSL8~*JgF&^)M75rwGRw}6LHYb?UkmqCjP+iQOz}*acIiwWPOm4wKbHQ(=Bir2x8IX;`;2&M)0qwiLJY#&?L9<@&oe-X_{k;V zh`9$T1b24e2;`4A?d*)bj?+<13TK1d^p{OL*Ux=tmv##K@Xy-*80+PP zDgNcow}fRu6i4)wz5ZgPmiTnx{Hxuu{Dylc#ctO+N+ALrQQ&6l`6s(0_^|I!4yb=I#al} zUsPFDl=dCI?LJ+|p`qeE4)AuQ+TSH=_^fr>q))mM`Uv?(?gPE*sdt>UKFZFcGo;^_ z6_%h;-ScYK5Psmk5r!wbk~P_8ve=sQSO&|4t+FbToBh8P*^K2MfJRWG|0GM%1b>R zACXoGhX33%`SmAyljWAFPy0Jzge2Ns=zpD2fi?yqP^paWDZ8VZZtqUY7M9`tbp?|% zxpr%=JvXC!>g&}|bMKE0J-s5HC4L10e$p8ZfM0^FpLD7Mh_mEhm7mQ!vP5p;hp%=H z)%d$reEYAA_OB9HasvqYWM_(;7m~O%>KrpOGi0-@eHZjGgkmr1m|sp>i6B8Iz5irq zh>WbF_~MrDw&s#BJ3sV;2ceDITr6J$ZKR)%>~j!-d-rH;jL?UXxmyz^VjHErWCXEn6#Lv(puT5X)K@Px|%!Ve2w5 z>rzBpAE zoOR|cxT}tApA4plt<4y;Uo>t>XV(;oBaM7nO<~bUBPb9^p=Wq>4R%H`i7_)4oJ40J z4?z!SVjYeM*U>de`kP?nXKM-xQtHMJ#pOo+{l;Y}R~Hs5MhvB(y63s0eA(tAp_f0iIYa!1@!-kL34-PyM)lv+JJdZ7 zd;QB;;+sa^y=9c@mEOdI#)s|})2{V~PhpRu<~v#U8S1>NSa*9qTRE{adgjZQ6Z!9d z^N{N6?uER#cA|)~)wQoE!L9#JYwt*Ls+UA-P-rRZW@aE=wz=b`U78z^2z` zTSmZ;^B+NglfI30g*Jt3SJ$&k>dK?t^Pdo=;Qz;4xuJfz`tVTnyJ_|Fqi2?nIW2T= zv9aWt<-Dz4>z+{RpZ?n=tD#)#t!bwf)kZdlu;RDq!w*|mPfKaktZcqWO0Ykten8HY z#!q`aGC)@Kc5kixW;lIUATAwmHF8`A-js?ZyeavN?$ZwjGMezFWX!~yk}(T!O2*-* z-wx!<#+#CF1m2W0rhD6iM@=>QJHrAfEL&|ojV!TuVayKIt!zcAOUvt*>|R1K)_3|->U5c~^p=4)Vo`3ngg0VQZn+U}#LC=q6X-?ql3SiBQ;y!U@TTM& zjyEM=HXtkcM#u!Bd^vsjM(#-4shI%5^n=fFrDQ16sH}*tekY0SPgB?micdwZ38j3- zj)bJRQVLXLsF0vq_VyA=REqHjcBG(t7*Y`q?<^>Vl@d8{g?BOwr5WA%26fmj~2jUZUCKR=2lHF=BP$~caAOG zF&fMq+#Q5K;Tl^+M=&gQ2r|N>QDVa1blqtIs1q`fvDOyb7ZD^FNDYJ(qVicy3Z9^? zt)I3a*MLyrj@j2?F#AUsOh7v7?p6hBM!42Cx-T587@X>>m^!7xW0xDigr2ZKVQ@xn zxXdH@tzd`37uHGhQc_a^WaSc;)7bD)(EV>AfTuFV(K{4Ho?D)~!_cc&Dr773cv?^D z2!)}!4PBlZN+I61Q7nDU0L z@wMLQX|e)IeKePH%z1Q6bZ+^|i`!*f5b>`^^}{IQ!NAxeFFVMK3D+=lOndA>vyxrW z=5ngM0CBBXGu8W8dly?#dv4lAPY=u8-mP?he(JF#s_MdHN$#2D)_+doMU5+Dglr!@ z6;OSwYSNA({?w0joc$k@8jE(+$~g}N@)Zv#?f8gvA~i-S{TlL+z}s^vu4F*z*8=%U z`Nqd@CR9FtJc}OTza2%7SALtoXZ$viUYGt>qDNgYVU$e1XoY-ls{6?&K9R4x{dOpo zrm*dy7^y=ls=_gQCUxtY&Q}2?n~#6V2BDs-0JwJ^%mOspV() z6gYFs)u+`vsC8mTP{RsP_Xw?2$g18!P3upk@W9*@mh%S`3WaO?^7H%m&ca2^c#MAX zu-SF$ktDnaS&4zP%kk8pY|QRf{N{ou&yy#}Q)>wMwY{m-_^LCVEsucF0Vbd6Y(q%l z1*IK&BuID)9Y&}>?Ina1nq&Oy@*)%<`Vk@6*n-x#gj!Sg$pg^%m#O?)KP{z=#sC=`szC=u^gL#){~%<Q9@G5D{C+S&mRp`LQnR2f^-y8H~@re8u_nHKMa& zO_EFK*orcwHwJT|<#sW26t8H>N|-Z}Rh0~7T??KHX*_X$!}(q(OZ;mN_qOOM$B~w0 zP#Pom-A-+)+c)oyl5+dXrlAZP?iWY@rP+hS;a=D7Rw_qTP`M;ljXf!4GdqTbu{b?rEv`k) z#SGAhNi*nVTW8ByoX%X z^l0~KuOkvEk}vj!VtgwBq@(F-~o6@v2+bQve;0%I3{@P!Ff z_>~t@-TRS|66hXR26OzRFwR6}rO4v1h&EMEH`s6hP9_}jo8mNx!xbiU8yu{=Zl-f4i``TeZIScB!xCq(YdKfUD&g8 z;8Od1xLI*u(^$wYiyBUJ{>@6vcLv_&I9x&Ri^Kb`khXddU53}>OIfoRm8USp*WwwB zqjw@-hs*Bk9nIX~)EojxPn;Ra^Z!gmKZ+kvnek^T3dT`I@Qh=*Mc9)^$WWuGp@E9! zdW~&-&f!$yPJY+nv@AOGcJ<~soLY8juHG9f@2kQGkxWNi=QW!^OM@N~N_X04nv53Gq8zqTDm+ zcEZqrPW_(W_(xqNPIaPhgj(3weAOQll6JV%4IUf~dllW!2IN&QpF$A_&0w_a0guvycqyB5vPX;{|&tjZgw56GA6;OpW}Gmn&%iIr;JvaH_WQm104} z4C47$)9LZ|SBr#39^al;+U}~{8V6i6u#`-m@Y-D!`zX$iLwwLZdGmFZ62klFkQCLS zhurHS_w;O{6c%qV&ZlO#ONd4@0#iID{-92bxQYi3-w?k zRZs$U1}HKbC+G?I&J1A~yUVr)tSMk<%*P^W%nwuDv%gAyt%F`cT$|yg@D?(R1SSAiB3W@-i zOh-}^a3)O_Q~^=Auqa;@J9V1hdL-5KVqpA>lE($QQzu$Zg{d&gc)T=b(MVH+&UPd< zPVtyT-NA|e=fJIDe)34gpeyGqaUm= zskZ2`+;nu5{Sv&Mu#V6?kO@Ts-nv`hP>7f`Q~Y|34rP&pDb!LVx^NsypbWDu`h; z)KlPeqC(1mB#>dfLmddq`Z;jokpzJJ zUY^ly;FZUxo3!uU1#!|?!nJfCPuX>%1FMY9Zl&EPdOJ1t;NOowDx_pBm<)$K;qVwK zjy2YAM9Q2N#!7MS4=zag);FdKk^F-6>Z7Ke_PMP$7X2dn-+t!^gj+!(GX_Z>72I z!azu3ou1L*|2gti$!mdrs?;LZ;o@H)?It<>kA3M&fW^Pyy_MwuG}0U_4HV0UF3Tb9 z%xj*kEF<3^XZuU64RbMKIo(=<{{Najqzm^^Q4dd zHMgA{B4qHnC&#-ZEmsyy-Y$9~&}8G*Q@YSPk$suxWXKu5Cy#U(-4|u1FeaIguv&3x zo>9LMH(@ISNG!tEq!D99DaaMN53rsBj8>jzQ5N_32CLGVhb$JjK;^H53cP9#L?@HSyqm@o-${<>qZ6O_&d~9)*uY7u z`hP4o8{Zihd7vMO*OIsZ!c())5KQr^yJ@?QZjH=oJe`c&!C#jNB=$3?ZHw0r^iM3f_|H6$>H3B z&*95EM0UgN|1$&;^B4_QWNP7xeVJR}FNNi67h>vvokeG5l{I-W&5D17dFBxBTPY8149eSoiYRKDame7XxB; zp_l8SPM;np%9A#(`HL3bhH-VD-O;i$kP){K`Wm?&anzvD0dWUWDaDZwz92Dr199~- z3o2U12g(g3*sB5J(3Cb(kfB1)S(7h-R7J+5fmVyF)|3@bBO7Ht9H~uuUYT8vB z#*)cMBo;m4@G||?N0}izT$8=$c>F&P3N`%Ae~w7GgE=)H>+E~6eg2UdxG=LT~@s4G&4FMC~DNiIG4NQS?4MIzDcNbO* zJZ#h0U^!YoQ(%b`u*RlL(P({*A`f8oidu#HAvgwZ&qLVz2wF%+AtB;1(f9_0%TVkYe^c1F1ZZ zU(~*}Y-4RL^3)@p6ihhEG$P+F@eYLlB_B0=9LmTmr;GbE$lr|gr^RJRH}2B{(H7)w zbBoInaik>?84ign@(znD5&ohj85v$e;dXHq!s0$X(vJeb8{(Y^m+X^}egeQwiK`J# z-Pf)quTLT!ZkYeP-le2ZUCrRv_%#mxuhs4R}5HqNMlCOAQSzo-^I;}50W8bX4`1IDB`r<41&F_o1x0d(C zznEL%MEK2QI=DE`ES za3f(9aRue@Cwh_~gund!Zt=N^{QT#+LK#o_V(QrUdw!K^Y)b;0Z-sM*+i>d#*%sWI z`Kcen2L1##+-RL2#a2yJWK=|*=MMh%i-RKf3T@Z@7Kz?R56(HakiO7y;Hw*i&-tfc z%^dkdxz$TLj;=iX0B7c;+lY?AFfHlzh53T>ZhU(nG$4CNA!l}pE?i}kh0CfT4&=*= z2C=1qX-&xJJm$ktsUA&3feyE~CHOF5s6o+%*t%fiXci~pUiLCcufZ1@%8H>2XiB6S z4Og#N5>ZPT1Op#ISYJ@$-b!ZOdSwi2ZW&khl&0XueZf(d?oZK7k+Ohl@f(-yJUL2L$7+5DIEomU*1AmuYz#o6)+U;V}>{;?aplm+{ z?>5Im<58AiSlJ+{kQZ34*RHgsMcOr}q2RXZV)5vMxEX!WRSC5%Vr(e(Vu`h3osGum z8grY=3p`cw7Dj6z?U#JyHzV9Z7)0A^0WWuS*)oU^L8JBl>||wtIEn2I!gE@oqcJ<# z>SgUUQqXU<7qw9uU6T&J2QO!yL|RZhi5-E<{BCrWSa>&X!__}C{0OLa(#?LlfsX4! zSiV?nmWMjrBqP7{S@4+-SJ&oz5Q|p2g6{H3(5A_gbgP$mL>Igbdgwv)fHHO*Qhuy9 z=px^#%P^5SM<$zwjc?ApVs*Bh8}DpU7dmC{UIjMV45VF;{^hN}I!yEck9+)5@vsVV zm=8$oVJx{3Lc#m`*9Q3O|2ZVT2Q>&$Do5pm<$mbxzvrKBCu;J#%L)AbOB&$~{?#Sj zASe8w5faR^Cl9xr;yOx))xZfmE{ozmRKLeD>QPJhT^$*M@!*b*G=UsRy?8m@lxOqR zQ+)=k*yh;4JyugYDpkP&v+g>&@%WyWU%Q+t!kKVt=PKF3So1~R(3wrwm0#&h5*sh_ z4?9Q2(v9~1uO2Y*JwB{!s^X9AB45^38e3!A;3ZC5Kv(K~HT<$kh zpL`!uTqwF2#gTV{!vVMQvRj1UJ~Ab|fdu86nbMzWg;7aw!@XH@*tS_7TO6Ox0+l|4 zDH7UX9S%<;v z`abmz^DR~ATMC9(0vML6<&kD%y}&lXaN8_*KI$&Bti>(UtR>#*)>7{b>trtxfQUiP z8b|{X)&{eOC1Qc#Y78IMeVWcFi9M%J`5)UqAkfGtqgk_BOvWBg^N-%e(n6h z-pAt37h_(YT+-5x=elIE^+pFD_3gqzv^z#kFzEH7!@(c@c2olPssav-MGMu}JkC)& z%fUbXHbG0d4O_hO^;id7MpG%S`L~{DU6Eobkro%{Cp+p4UMu?*UvMR@h<3gtPcg#< z446i0%u$C}D2LG#iyNOqL`nzUuyCZL8?(3^Ct(i@i%EaHk}a-r@PFZPDvrl}S0q+D z_?+(wDYEXn1QCd>`XQU2`c4}$ix4t1_7=bR-OX}nFxc=BpZ@*O0il)O>jvc9{(XkH zUdvznzCv8-;7LFH7;aHy5azfN{^AewgRWX@1G4KDDX0<5w`zUEq~=@82I^AUvbSXm~n#Y$l^v zqfnTV9o&^%55Msut1tz*`fwmTm}%jRiHQ?RceDx#G7!QVrB4b;qPuvKcFe10pUItxx+`^I>blfj16>uG z5snCx1;LTO6uzLyUlVB%>crS#+DqfH;{R~bPn_i_`I>eL!l*)Wc1uoj=q!XmZ8@{jX1T@!?$_hkqG`p%tA6GZ{;mz%0YA#Jqcmzh$mEEMe` zsEO=%a2W3WT`&z-fSX98w10z(t4%M6LWVeNa?^*R@c+kSvl-?bd2F_deIA>ewyK0C zplnp5Zg?M>q87eSI`K`lbOv9gIP=Z&?R4olhLyo<&;0rm-!v@@5qyIE_d+NdYK&b_ zRZky|AWP?W!e*In44s$$ zJh6Eq{03AbY_V&OckpV|905~08gnK% zImO6ztZ{Xb3g>OG4+r_9-CuY(ZdqFtTDQ6=1o3KegR~85V4FVR9G-@yf$_4}rzT^B za068}FG5HaZ@ScU51#TU3Rh)tCqO>u^gu zn?^To_M zp$nQ4G;nA})fo!Th%|!2U!P<>Ycxe;C79$o=ow)UtqkK2e%ci9iJ~a7)l!B&P85(f zLeJUPFa`{I1}Aw3Oa->|I?BHkW*ND?Ka2^YQ}?{-+<1E{IWo%v=}D&4g?2amVcB<5 zgt2WXrnA9MSlRbZW1r;*))~Ex@S{ZlNs<+hejfO1nT7LU&6&TIJq3D1t2iXzpmzqn z^(y3 zQWndFaP&a-%wVZjm4y$=p|KsJK_UflGQ|nm z!)7AEuv9N#OsdD(mF)zK&L3Et?uZj~g1u>DoNz`#%P(YY;pn!lKg=|>g1 zf--lvez6$mWgOBPf@mc;#r4I2DnMxv#Nwv!;)Jw>&nA!vW zgsCsQJ6Vx~Q-Ky{2l@i?E*m1Gi%|=j>V^oZ#=S_tno-=+E1b=T=4_4vd}2+IeO6F( zansvFgxkcZsZFD_LXul4;%j*xS^X?wGv6Qfp|+@)v}!SgkEeydJ|56U*pZ z3{Wd4kVdkPYHMZZir9&zE#x37R6R`w$qXeEl2qMX0udJtFNs!2_gUFy_enXhS z9Rxsjz$L#uf$~ysrjAA<0X^w}O`C4b-dUn5e=zcq;R9+Z%MPGchjsDJqZ6yJ+M>2> z0c>)9PW4Q~5J$@$ILP7)cKSQ16VH>Nyg{jXQk+TF0H{IM07&?4)4l{jC%f!COnnbr zQCxQFp#%dv0fDcIj4M>;(tl4~>w6C-J=)GboT@y7Z6GJZ)P{a#RI!&d809@TzM_@7 z6iw|QA$6e1HZWkDf!W<~66R|K7q)>r+rXV|ur}l+2jXM_42xg|qyPlH2opivON64z zoK|>W#GlCKXp}G6;fp?4vzdi2;!=Ke9B;6Ea4Cd#9TY-~dky;0{nRCIiyhWbe7r=l zM^1n$9ai&j6N8mZyKK{Oc<8N#F2)q zC}D*DP4rPKKB=|T+zVa*cGK2GVWNrTQk1=1b}uZJUA@a*D>2(MfDMIkGkDD(B7Xr- z8+6xxeL_xfj`-g}qVGPD`^%p|3MMoa3={Ga)^;3`*ku*G0Xd*&s_NHv{H2~)8~-+<4u1dW8kfFDva7|6V* zc=Fhd!rN$8K_%2NUIpG04wX)9C~ zCPSDLRzRB@R+z>VgHO`o2)7nrhVWw6IqDURW`xWvjPYdugv(aT?=lsjzWu0Rn?Y}7 zSNx$SGC{In2o0=Ip`=4{TCsTn{ff+L$W*uhzZ=F3M`_`&kdeMHEFuL`0dv0Z~Z@L`6j%u95o5p{J zt6AUy=fQt$^5z;xAjXgrl?+7qf^X3nBd`xTFDJR6)r?xnI3uvMYlb8N zy~Z7=EeA&6Z^Hm&>F(ztM*Ld{GIKX_Ycpz{=p2a*)V3LjLU4T*5r(sSlXgN-$&&qZ zRFn#i=7MNJ3WQkj=|4EN_8+oc@cApMZO9xNiz|~`!{tfq3#TFhxmrGJj9-B z#M3yc*bUzS2n^=d}tnHxaUg++!IazIfn_S?}2*v)guF ztO%2k8}w=VVLgCfH<7mP@DrIC(J%<;-;p%xf&4grRFX zP_!GUL^)&`a5iIvbRDubhEk#}7MpXPa)LlrVSEpenv{0VHLIKV-r7{exbf$;7J~G! z$k(&ItI)hA%WTH0&ge5+1)SXmy@YYEE~B;R)Q`cBfp+G7qa)Aef1#r=d;*S_tbTyc zQ@+?sv+_LNvcitZTNlTj=Jb7hNh2G%UNTQULUq0haa76$V9wk34=6v{%$d zk}>~iAdgN5=rY~)JMsj~*SqR2QDxrdH(#Ep9&f{)?^b+~PID{F;7+;G?9T%UZm&S` z$4HuD%i@KA@=P<3h2)!OdJJx+(-pwYwANJfaBVY=i3M|DQ0`Rw8_JW7U8^ZEKRaupX_Gb4H5&7 z4$g#cZV%(!#+Rk3pyF`{Bo$!FjNdB z(;J;o{{2fQ4I@+;&%a@J$%Z|8dB&s#%E?bp{f%#nsrS&>1U$x5?yaFy zVTVCKL1xx1*cIr%87eirg;wn7nA>^aWH=aOd=9!aOn>l5h&Xr;O|UU+9FM~}?8l+f zK~BpUA2Elq%$if((6o7wX^!(4t}ytJ2Y2&lh{s1Hj>qvMFREuVjsrW&U~*P#xHBFf zY`7Imk&asux6dMEEUk(=3`BKEt(a!tYEkEHz3h&`ZO}`~I>rj+y^!D}HWNXTCy;um zMI8nWEvySxoEu9K^4ami!-P?EG*E<_XpCPE$^nm}0uzNQ{*dpAX@e;W%IMl_qHsSx zZn#hywD`lsRTJfU@{mLoMMxAyiEUA2r=sb5 zx+sp0qj4}UkE8qLqY`+ji)Lc+i`Mi8HatXrbso z2^q~2$&=_I+AQ8yT{kCDqCay1WMa?ABLU1z*gC&CV%JsD?<}9L6XlaB$Cl|UdFQ;p zGf|r7YcS2FGsEAh;TLVjh(yWm*%)K=T`NHjDp-87B!o2^GC5A_%L z_MgSWQ|Uu$73tF`k=_<{)95W6a^_42%I}ItrsL%EW82%)X%P7&EOu(($kK$Saj)6Z4Ln852OmZSk%>6IqZ1B~~%rp~-n;yP% z?&!D~d9QbXf+qHYEa82Jl=*$Emz6_?2U<8}Cr_GQ|2m*0EhgBdh&U^b#;-g-BDbl@QQ zCp_^q?8%dlh{35eE{ub+Ze2uBKvxiQ>gZSlI#a`To%Cw>5wSXzhRE|4itVX1neWy9 zluDuOz5;izZHv(2_>B-z_tJ=brc`YJr3zFkrA@hwVQ-eCB*hK0dApXxxsLNL($W5I z4fh3mJFBN?G%*{SBw6jufXH=3cr&lxUJ7Pkx|gij=wZ@PtjAiQNH`!XsE4*=z`BzmAUixCv<-VW_PD)lHAw_=sS} zVO!#y@5}{E=fvQ7Al-j1#?Pba-VC@IBZQWShv!knP_EEm=}@C{Brv!^$|0s{=UL&C zMYB1hrCAh>%GG7jh=CdRDeJIlu=MOs5Zb~aGT!u-_#lhM`kh5$csClJGh=fDT_qPq z-}w~K>&V5bX2VrUdS2W!pQg(wyL3KH=&RHUBr_~qT*%AwDS9er5rMuQt0A0`%`oyM z6>dv*LT5~$SfCZp&#-Yz3TH_K20UkDv~CiH1(RmuXI)}H|-DZn@qfT}?5T0kNF z``*Vn>>okM30+RwB;H*>%Yxp5lPeDNfxOJuE(<_1v&((&6Vn#bf+%NnRvtB2YHi$v z+ykYMKRwC4zFJ zaDm0-h%Jk0h5W`vaeXnhvpmMOYfES%LF8lGsBDZv*_0zPmXgEmscV7a+ESX~8F$-v z)*H71#q?#g(o>V|C=M;7N$kjgpht0S8Ex|WEX?~a`m4JkDYBuEMH2rpOjPI6UL_xc zg`zxjuKK67-|`oWEtKDx^j!;Wl$VE#q&$iV|14}vq5EzYH_6HO7j}t)0^9qFUzY3g zq(Ff8hdhd(t{+RAl$RAT=+lcK&6eP|Uke3{tExs$DXj?Lb*Ep`e^o-&9 zW<*h42jSubs;dRAM><`taBb;y9fE6Xr|V_7a5UA@y#m*xn#)yyPH2T2gy*oZbNGti z7t*&Hj5UZnJDXwDGkC2<*o?w`x`^VqbBcb&G?+a`71LZcA1O^0I1U;X@6D zw^us-OD-F(KaR?&{?k{guH>zdrfcz%vm-Be2}G43&DmzbKg>;vsR)n~KC5V_ zt>#)*gRU^`lDpnzFa~b2=t^|;5m(pmOaBW0=Op-eC_Wn$pACwSCwx+`=E4X6ijTYE zV^w^t@OjKqTc|5xQ!Y%u#G>NUE}5<`kM~+(KK_1$`Knojl+w_Q8Sxuu7TiAEOzHSP zb!_j9_y=a5XTh_IWNS|L)-@e4SGXwcvcpCA(b2K$1kB4kZv`&7xf#z{#KWbOGVN81 z+0h|AV%m$hD;&nF2|ua#lA0n9x%eH0U%e&Y5zW__h+_v0`Q%UPi6yX%l7e}E5|8P& zM%klSd0(PTwWo(PHH+3V3LeEVu=8kUdNar3cLW^k70Xv(8ULo1i|5#fEnYt738#|AhVgUY@zx4H1&0 z`r$?s8)o1hG%UBcSG6*HC36T6se=b2UWd0%n<4rDkNmJdV-@R%EFyF@g@*3M11qLZ z^STQ={2L)2$r-QFvDYFBR@0bJC(HgtjR?nXZg5Th0i;cd*N|TTzZXhq^^RknIWG64 zWn0ZDi@36yqL;G70|q<+EftE2fFlC?%_P9b@_?*Letg-&yx*v#LIdK?^3TGZFrfU0 zD=0d&#gdHpo~SQaD^UiQ$C5Bu(gOS(e>aMc5zo5?7fGD&%NEgh4XHvJ81cXZ;=SV- zKUYjxL!r~~kf4@nQn!>-1a#ZtD9-T$ma7fLy~-6vC!3sF2eEq%je&Z5S&{#w3V@Is zd=(ZVZhU!1mtY9FVX&kR;QZL1#Z)jI&6x(B@d;+X)2RC(;NzrXv7){BVFun`DR9dT zt!Nj1HNzYy!zD%V%{U2iAe8&=|I-0>9~7V_PC{b5miQHt+!}zSb(J&*NOB@y9IT{( zVcaOp&g+!o;OvduXbH>3g-YysF8w4j*3zHkc&i9nM+NMzT1Wd~wvD=<0thG1`1RP0 z!o6cXcr4{$tJwPhNR9A#?E!FJhTR2fb<$$6g&&Xf!YRrC;^`jvd7Bb}_=H$PU?18c zHaa@De8zOM#_ZcA zc5I*p@{(2JyA8C$5QH7-H$%-02K@l}%<#=M-Z|ewNDe=Z%|m{&F<-3RNUu!gXnJgD zIjded>eXxFK;HSR>Op5o<$xUk3XK} zxVE)>R32(3c7viPw%RCu!q3@782sw2{A2d4`T;pBI^97zg|%Ke_txIY-i!{$`kmys zflzxJm?Q4maJ|J0f9iw2WK700nv-&Jo4lp17|<7M`Jt~=E*qPNR5dC2qeDjIm>n$Y zhJ~QX_JFu|r*3w=S0iiB@6$WauDKKZtGtUj#;y5^DbJMo=cN&gJ4&T-x_9jWX zP|KBP8D5jg42tZ)0dWR9=<3@r{oQ#v9B*!;jZ4I9c5F8pV2&(<415iz3nmAH(F^bI z85`ACgYg7D>l}08gc|A<}Y_EIktWXnUf=NDlv+_OBfe zfIPx?+?csckGEGf)U>OB;W=oeKL7*y$%f1t!XE^e)J7=@n8@E=42EPJr%h@{;>Q@6 z-Uhp&L%nAT1IPDf&~_M0B%O|YtUbwrGzU444M@*o0*`52E}ocm3})^Ea-1i+4|hmZ z(zm_C%jop)ljF}U6K=*AK$})jk3Mkej##&ew)DSrr@+Wq1A%*tLGLM_6FyZmcE;oF zn|CnHd#*wcS9`;yJF|_}&sQ4_xj=FeJ|r`gw~)d2G_3(#hQL;W4g;L99y1vht(N3_ zb>g8aS{(Eh6Dkmiq?#~Us=DVK)(bc3rLfdOaixl8`s^E;@37+g2@EK9k-V7_=Y#WX z2hT_hE+1=u2ZV2He>a>D!FNcfoY4(>uD1>i$UN`W#!M))bP^bWFA#raqX(+(j(o-i zH#puGpKqqIHry$ONh$bOMgs&krFfyg%mm|4vGGI!h&8C5YoEAt$F)y1?BITpi9SKn zyR}S|<-WlboRKK5ru{2Ne;kt2F$%evIBpU82l@$`%`GlOO6ZNpIn|M4!M62iyC|rp zaGM+2Ty1ZErIT@cKiR9x?C=Ije{wjF3omWC$Orlpp~3L)TWFFk4v;V!x}Zjh zf2%egb3zXK+Zb7uo%M5eGJ2(Y&zy=l&bi?Z{5!G>I>Q#Zgxy8hwssCvCk0OkLwc+o z`wQe#^0#W6a+8hW(83S7?0xvX8!tLKtcm%x9ywc-h~P7k_~vArlCiq{_RcA&_0H)6 zs0m?Ea*W<8gV#K{wkpa)8SO{l&uMw4bOOo67E;3hZLv)%>9zX7(yt|6P2Inju?@73wGZm>r>{Y~zb)3*Cj`U7uo4sEB=0%`;^+5S6Ry$s1|TT(-P zWhhn=E4EXL8s{N3c!)@Sg8Y3}6*D!iuhK3ZqWB5WoIemxJwc(F78XgazhNe#m0ItY%OX@KsDUrQga&cqK9Q`voRA;aA{hN_?6>CPMgK&3PZ}DOJt({^~8wRp`O-l{Po)r1MIeAF&Z*RJS8v zX{ouHU}sTl8;}O+#h_g@UXF|s8M`RJ-5RponABFh3)eLCvv_7)tVJ=wy6qL{f6PK-h8-rhG~o4u9}`>SR6oVd{)^yt?W2m@tEX zxBwgX{|nd?pFfM;Ee2SMBz_PSHJO26EO5aRxyP}aYp%HEF1HiNp`AdArF$qq_Dd1# z_F&U+_*@qj0+2qnggYZR%}}5dJM5nZe~Et`YTo>!|5444H;7)dImUbt>c#U+mw%a(l4 z_Za7plY#Dd-i^!U_1TE2$;sHRa@K%H;Ywl+Z=Dt``xZM^u;8M*f+bM$mo7MpCcTI0 z4C+V27+H-iUfSlvb7>-|K|G@KAir#BGWxi>cj3@C}w6@bO;KhoICSd8vBvXS9JO=CWBCBWE8HDK(RvY*0u&W4* zL)iC8943bCr2yl}g06Vr7dGB7hc={{^$qLd^$jPd>i54tRo}4l^c4TLA}BR*8*j?- zsCN>VVJgZpa1}8VR}r%w`u%--rB%fjQld}X$m*2g&UYC*|3DA)L$rGlEfpTKH^Ots z)0EB^6Epc@qU>qP*S~c`mj*p|D-zGWS;&e5Nl|K*b>jN%p%70Gh;KZNGv@5qO;vUcA^BzTcC2xeOE4&jscEYeZDKXyd>KFQ#EaLcDh!2m)0M|!o`#SnL}Eh z62q^wF?8&V%Nys6DVm?97#H{txxhbpfI3^aY6@#hhui*2NUsds=5_izuKA`teKlAKX=^<|CXx@|D=s`or-yxcLsE)-6VcUaI`LfP ziM6+TsT1|-=gAzt>}H>|xyQ#H_g_IPyT|iQUwCa`hPMjZgcs<+(2g5{N`AR#-z-c# z=ggBPnveL~CCUHA=9=M}$Kz2HI&+1O(AnsOL5y$C%4Q>JxV4I8I9!p`K z!w;D_`7}9WVJSo+kGLnb%=$>`>BowAd{fqBNrrxn6ZnpU6aneQ-?_W!+1T8?&+-oZ zRYEX0*-Sw@uN@pKL>g{7A-NuO4SESI7;lbX(rV8*p!Ex0nMs}S$C;6e@V z>n#Mi>=h!Ql|sF@B0k?cZLJUqt&~8A1@avz_O_CrJiJ02Y{diw^GYja)RpVn;to+} zUmIb6>WgoW8`;@BshPpvs@tfUk(wE!nSC_VUo%TIv(o8ySJPWHbA#qz4YLxv;;%=6 z5X2(lYCOAnNUirsc90~b$}vg=>7)sgX5c>SMfO23BYR;32=lOJz5$cB_a|WTne-G) zWGtP5*%#(Hm|V%PVV1!BUJJhgQ%3nxyXK(-WE?R`Gt*#lhLxxRXS4w(yQ={($oWzh z!nvSq&8*SPdd=LYnO2w#;3=INze)2C?hQA}m+%Hr$;hOcv6^Yt%v8-}Keks7kQ ze)R}z`W@N#v5BvFt61^@MFqcJuaEj>-!^?zj6Q18WYf6GF_ZL(^Ro2Orl=@!-~-wy zl0KveTcLGXdD;E>6*DZwrTVG*Fl(fKR(^hYd`a=T{DkGD_g1b;EUi=myrsmV(vr*) zOX=jW^->9lO>r-FeK9@U?Ji-i@tpP(YWV2ROlo>0!2X~!j1&34D8BfR)`cXdC+X)U z&(RmJ(U+E0>eDTi%Zu`@`iQXgk@~RpBgMQw)ALi3iq|YJD=p1mUYS@~QKC;=W##zA zrRyvu#a4YmS%p4vZAC>sYL>E)o5-bR;^#lptDXyIFHDQikVMOA8fi>exNKFKHD5!| z@*)dzE?HJqP_QOnMGbOjJA0Z!bt33f@)K9jkbWL#IT;XfyTwk7idLXqv$njvtfCU| zj-P=*E5gbuiVK(J-S1k0;`JNFh|!Cgo&K)o285OWgK%pP|3#WR zt%tvBez4n=!d*Sh|2)C3;>Y}(@m;EVXF zY3R@RO!$oW0`c|1C*iw+@Jsm4;X94*1ilq(t%do^O7ko4FRNI&tnz{KeB5uYnXI=Z zS5!n~lGxf-q`>T?lDJptg%=iftV<*KYBqk-Na3>D4j*-HOio~+Nl6z>} zEwUFD6cpqYUuc*1AbOtw2e{kFC%{~NsJZF|m< m7sVbZW7r|%LRYVRs!RRPy}1)KP;e}`cphne9+x*A9sdK$^Ekc$ delta 42915 zcmcG130PD|)^^qH1{wm|>_{VYH{A^)YJ-SKTD&xiyHR6|Q6Md7%oxoWvrZ09 zui;X1kvJ^vmo8O_R^6!NZH$?>8Ea}+aaL!$R8_H!Gq=rHBYx5?jBM<-(c*!to~Bl< z(T`B8t6qwYsbXV#OWw2hNfG0&PsA}6nQv#SXg`YuI6^}db0Q56rPqc zMruDMno@cS8wO-k;k?vP;mCm*qCU0i-&C+MF>Qe&%5BW2bJ^P@*Z1jlwiKPa;6&i{EXKGtEj|9t0Xk$-x zZ9@v%oMm9{f=r|%?6?P4Zb)Yww z)fF)ItA+rRYyoD=8^@Lzna`RxlF3FEkkon@tMyZQ0@A2Wk7)_=X6BiVNKe%^X@}`85_6R;`A_Mk*?K0GO{I#>1~Wh*wQ(RHnQgJoat=!MY{1V5&-p> zrc$7ZqmevTi$?T_YqYH>l9~un1_DN}bA4ixRv$3wSNFvi;RYfRfj`!Lu%}la9!=(F)oU7G7vjeSj&}S-4l?DQae7jHLyQ;ow zl9(QUlC3|hb52LMEk%0CjPMM$EP?XQI6u}ev7?PEit3wm?5oBAo9-kOS+YBrm)+zv zu;aGtRhmS)`XPQA^Sa|k;^i9Vjx*vp1y8Do{)#?!+uBp&RALo;?AWMhwGBi}i@AeE zelN30=WG2s;CU3vU5#=C@Do8sTTwK;g?dhLl&+(C@#O4pn>gFGX+_Z{m3D3~t4$!( zM>CyDxB$D^S;C}P0>D3VQ;UD3wduYh`)ach+!!PUV{f-woH97Y+Il6I{BN=7Z3CSM zjX?Hl7Ois;r?dvjz)_NG{VW#E!G`yav~Hjtk(@pc+9SL*)YC46R}`65t~`o&dy&Ko zKDMr4_hE^aCF`UGm`}BzJ|YjGHW3jw6ChHdDB9ziHB~{o{fkPl{-`=qH-(wbTKV=%phkKi}sk@twkkL z3%$>Z*4swmeJY-*2q&{J8~vq*{THSTNqqX6eylY4!MtEPBsqsYPiv z@U+Zpku_;4ZL15C?v$b0U5#;{7*95v8<2^tY<=MhTKDoGmxfbbBci zY=$4P*~7@kjP3%83w)=uF@w7Rab5!@624zRf6}f2SE85uC^LREEzp#}Sbd}93wP1* z4zT6UXov%kX|GL>aJ>4y%eHTH1@h=!)H@q`Ni&DVNYb%GN3+Jh(VLq+rYrMJ_<2T; zX}7J-RJ(59=y}alp&m?(@a9nAf7gK6GxX-zGu6w~q1_*&0R^)k7Nds^o7PKRYai-b ze@@*X<=afw4b*f2`awveCK0!l`cK0}FJ2#J@?Kp!sOiAyoTk9&!A;EPc8)A@v_zNK zTZzFK^EBs`WVPg%WVaNQShp6I3~JdkI;Umt=)ox1A((zXE@D4_)-5M-XOFA z4=YpS_Kemy-5H~?7rhtZorB6GDaNsSu-ftlLrK``9u~udNj*ipKbp0G(q#@8!s|xW z`g1|mZ1%z$8{4@CBU9YyiWI(_XfHTl#d|b!=bpt3N3g_=*V+t~Z@!8ds1Cv``X|vS zT5>}@1d-lV9vl}Ge>d_`c|D}z#Gx9jg~7Of(=;i$3SsKI#-VK@BX6KI^|&a>OBz7L zqT&ovJ^|nwS=$;KA@yQ$UWViwCRXRA7oVzL)<{|6fH%n(ug*c?HJa91$2J?JDTWVSnZ z!g-NC!kYFb>E8G`kxcJV&N4=t6aguw{w~40`FO33)Tk&nVV%UrtqW)4*9BO;lSKFC z)zhZXhF2>4He;nc_MKU%cim_BmJId_xRop%< zm)oaK=62_9$PCG4WY26*V9#z(Vb5*1Kl%@5Z`?D8c}z2G79V3qwj&GE@X&mq*k?3C zv6;a-)5;nMdW(EJ6E_#$lYuTod%atrok)%Vn+iVG4gE0SQAHwpA^MLTkS9ZWgbGK* zK*^MsGMX(C*bdANZ){IvI|0F;wu8{y395bK?8pqBC1Q&vI>MPpPm0Ojfs#5)(zvh~ zr!s1b+9qK3MvF&^9Nv$B{A@JU5v1X>1D7>EF>ra~WHgxxA=#k{L#>GvG)v7N#6pLL zN+g6}fHgWTAPdsgAWh}F6tGc17iL>t!3<{9-JgX)if<`MM0^y}#dSXuUO;hIF=?@l z62h1icm8`VvKvpzNb#s3x<89m`E=a*%Eq5C#wqQq!0v=Vz+UJ_I`t*MDxEBlSx8cy zvwb$ZvyxFdm8bk(!XJPX=HmOLS7O9d#p(3;OL2PYD@bZbU#>#c^nL*EVd}fMP&`vQ zHDl+LtBbjp=Hvj|;d}%VE5Hspp~@GS0X0dckdz@Asgp>hsBL5hJv6Z}%oU_|*EWv; zB!GaiNG(1Tu1CH=Ak0SLSuET}sAJJ8>L9JcZNaHqd^LJN9HCrNwLF{Sr-03IXN;IV z+A))gQdS+Cn%}p2igP<5lcMx$^+te0NMJEqn40O^e9C3bi;qS-yazyh$UN{@yo)pv z(o{UArH(+L5fyLFN&_=w12Y;{c3^sTZ&2p}%nPw>s?p*!OM6CFG(i)F#(<`QwFF=d zPfT|14Of>=YYBMWRBo%sRO@InRjk`Hy1bEOH8XCBRU07`5RuW)8euF*scbWqsU_`@ z*lqoo0pYD7HYGiZSd>_mw2^G!QpC zB-MW-UMxwEqSsR=w%9uQiNht1K~$kO+@K>utsxaB`D0L z!yxEI%@`|B77vWcDDj_AHK5>7(?&7D7#h82s>>QBUo575y}IF42b^;0GioUV)hpcOQ*ml@Ji`m{<8Q->GH67-_$$e#bWpMh~4YHrDjuq4BBin zk74a#V#Zn!me};dw*uzGzVVb#kNEID`8dLiz1143gR$d%-rw53slQlVR!F+_zA{G+ zaRD&~(KLtY9pgrBvGt96;kqq0N(V)g%4e4R3$rnF!B&~YI%xb`vSmiuC1i@mzU+j2+QN_TqL628Bs{Q=SE@RBS~C{?Dt(lx{YPnH9wY z=T+4^&IZ0pFsn^#c+;0|f{sSgep^LyMfs5}wgpw?&^p5bU`GN{w^Ao)TAZP#%~R6| zIzpkv7$L$2D+?oMft&r{;&|~&WriUHrI0I&C`anp zd!^@7#fGsC>%i-@8c##hrBW@xe2}PLtRp zJJ0k|sfnJ{LrtZXT4tg=5sFm3f#UTE6Z5H;M5qvGG&cK!M#?O86kx8ASOsxg@x;`e z5aeN$Y(6JZvIioIdP>>S*p@X!&$-u%`zPiQeV&_`K7e@CV)m)LDYLH~T5ilQ;_nl) zhzp}8S-q~3vIgol8A8lK)TjQ7*umz)0>EkW?!{_hi4IE+CC`lNSG=wqYD9AI(sfLAn#_YsN5D$bK8cFob1?Nr@J7#3?7sbID z$ut&E&M3^^E7vtyd>#zxn<3?;ydR;%SyC96uxPaunWeq5p~YUc&lalgZCOmeu5jqv zvY|B_(NsGnNoXNdBqtb8ag_G1EMB}W-J46%sFB4&w+$w}FH2jk@w6aW=J+#AJfSLV zeOja@oB*E6hMIa?zIk;ZpmU9ZmD48cI5QYVgvwHW0xi@A@`7{1^I#E^nF?EoMWS#Pj0b^&KYL0d1mgEY>ZvP@*dgRq6c5<7?y0XkCO86uAI3w9AW5tO=S79 zLw$T-rUh?UQ#kB^P)nPZWrs1E1<4e=aGXfLK3)1WPLy1qBwd;!W?o+iURibh;KWfu z`A4vf8%g3qhLrm2^;yy-SzNf@UA#};)TlRBvMi&dS|;|%EzMzUd83|TNk;HA*0O!_ z7O*R9PA4^U3F#Fd#*3x195q_;2UQTMsK<2F_Pt!zxKGyX4`lBBB3P_b_B4M8!q71L zQ*c$G#IxvpW^;t%L-i3j$qC}Zto(G+Zp>tg0w+H}!scbBib-PO?@x-#8#ERU2fO~# zL`m#RYz*15`UZ2%GY%|@TfZJCtv9m-@#`7M8Pb+yR^O7umbaK?{VLD_X60qZB4%`W zOgkMmarOq&b;KhntmThpS)E2zUQ6l2KPha}ABh!+KQ51dQ7hw<8P%MPl?ipuMQ^2 zR}{E57(ecc__4uw0=@ug)Mq_9FpT2SXU6JRCnahFLW&Jej6m5qtSHLaOKW8I;u;qd zhpSV(y$EFNq16$fVPMR0=NCZ@!VG@6hlFgA%*>c~L4Fp_TC#5ka(@hv^8dB%AFzm$ z)qzN>DGoFyG%4TcDvVb2ee% zP@S+JhpU))T5R+r4}ht-H3Uu|ptrq6k{!z5KJiyihL^N4;)3^nQm0yQK}cECOzZ>@ zsj~Tf0D@3m6Dmi$X0$@4au#!AaNSU0BXx@?WAW)EN}sE?%1D1z!&?#V&#n~<<_;K0 zb&-&cMP2NHSa4u@V;H0LBdrUboIBA=BD(=dw?G!v)v!A-8%ZA1CP#5;Ep-2O)M|#( z;I^H_x*T!MCxhf9VsVhXxrbm!fp)#?<(_bd8m794HQw)uuWe4JNff^*Rx1|N4w&}# z5zXk8idAeZ_>5rcKz>ZBwwG0A(CVhTXpny2r!H!f;`NA+J{4phaj-Ud2r&s!=Tc^i zpK?*3&B#Hu)7A%-3J+f0%=vjx6$I~gx?jt2!1w>7UMylG^Kt|`AHtjUhO)=8>utE@S|_Bi(jo7*!w zyr((BsJ4-Vc7WMP6|=S2BBep-{2BIUX&`w4lhaX9qTf)6hG<)W;EWxoJ!x<}f_0a^ zgtdkMW!&w|L8U~FQy>TB&te`mOl!(B)iB867up^v2KmU)LTUYKv@{FiC{Mqd5-ozp z2NIi?CX-xsI{MoBpND9n%pqHUgqp|hplvK+SeP~{MUAkjtDb%}v~}Irn651tsM64) zfmV=I;RaE?&?Gm1xy4oh8A{W}#8TE0$`ylJDHkm{Xjw2BVYLtHVhg0&O%|1lCeR~lak^+*G)sHU_x4L+h95AEeS&2U zcCQSXMPw^>=SBm!{|dC&DE)h+m#{kLPkr)aU@L}Z+MfbC8wM!K)j|xob7|9`;H7ex zg5^&ALb+2~xxs3|oq_g*P=);J#NnbN6T@zavpC~fn!UAl9CSa{p)el)pT%pNH?xgWZ1 zX58=rXeBV!xoD)dmBE~}V1OuIniF-`DC8_+?4}fP>(X>uU#?#IVBAjtiP%2VxBwRK z>z#;p+&*3UDMj3SdvVg`wbMKXlnfB>-ab3$%A_#x(+y~uy5CWhxf-iTSkTJ+cMK3y z?r4$@ED%TU$S%IVfEu&3L9xXli!gS30quKm76)8^+#1H3akK&W4vx1;KpoCq;I~T= z<#(EsI#2Dhb*qHg+rU^kh!gJm{*<`)&K&L$TkfnQoJQT1?+sNj1Qn#=UtYYaT&m`RU&%~1a zvHKU`f9_4578=s~NuxH#N-IswKsX{k^_b>k8=_?$bp~@t0ZmLxlJ&M1A{lm5*Szux`(A)|E10$i)E@|5@kxj4h0=z3O1pfrvxzTr@45 zcv8+Y=NUWMLe?$k8@p~UMLx03H!A-5^Hcxu-uauKTznF5U$BMmogXLu>3hH~pH24E zooqjwGWU^hSy!B=?v$^~FjtQnP*=X3f9uJPt~l}Z1D2ScI_06A1H@k+7+!;8CG(A) z(jwOFD|n>aIEke#oWeUzvj-#<+q;nbVrJ7mJh(e$6qbC zXS8>vBDJ}5z+B!P>hXkcS$8-!b2eUVTb|-|@{8=2qxm857oDu@Vw2s4*=IlBYwikn zZ0ef24G6#GmT&R@qQxf>{%bnWC+AQ4F0gRY33mQy-lQ`u&DF1MK_fa=6tiPNkW@gg zr^`5j-QxM|)uaryl|?7r`KP`OKU6ysWU%t3e36%X*dmtJ>5lxkw^*dIq|4@Re@yCR zH#6r$3%1KFZFxoH$B_!X`70h;Ab+|xskyTP81QsaZ`j!wV4w^bkk3li=FW1wc`sz- zglL6MO$=PjSeSLT{PR1K##Y7yy}r&QPhAR%n&ytJjGaKN5M~`)8HYFT1xegqKjgZJ zNn_E3uS=&k5j}Tog%PRkU9r}&6;xu(h149DHrUMO7bXFZ#8idth3?7;-;TLJwDg_T zgO;4?KFh6T3-+S~7h_kf$@(<0|3z7z^xe^s4=n&-i~Xng9pN7|ccyrx8Ro9|x#3N^ zr5$Gnh<~lHnEsqNwlb3HIF|(YqVV>{`K!?G6LO^3@t|p1q~e?4n=t9Sj)G+cENxRB z{ZDMSIO^re<5O54($JJ_tf3* z1+yOM4i}?Wj_JQOp}g|elZ!!u){{?nXNXlR?cS%muku9oNP@}VwLiV2Yg}3TXTAhN zRgKk6$mkwGox}j?WnJ^RXq9z)IX6<#I5otbfpL>g^l~%MH-UY2T>`~~kTmgRo%noZ zEH+#gKb$Ot(=`2#N|O#IbR`EhoV%@3iXw;dqR z-TuV*<3P&e?VtCf`W6CN`GKXkiGQvv(*?T1#F&SN=#O;87)8`0+3_hx8r|Pr%(&%2 zH2ZmibXOK=J|yhvu4LnL;`a}mB7UE&yX$Eb8}-OwySJ;Kk%Svx%+e+fopkDrE*$Dj zsjqryfwzkrRc`z^QtW*s%NuFDlDES@Skk30ZGM!cVC_7={n7CCK!hq-M_(ktinZqa zF#wCD{Qx?T=`t9@*WH`Y%c*N>mK9`l4K!+K_S~uo1lyvHE(3%|F3M2*Y`zU4e|E)o zIpbCmN*iRuM=Whl#=J#_4Jy0r3Y;?m=sUqHfpbY>&hJg$&o4gn#e|U|aHfFHi@tlW z`_nxkN`+EBzxeeRBt=5ux6Y5agJ?(jD!5il?@YV#hym2lyh?Y=Y zR6etN!lp>&MldyBi1+N>8m>C>-T0T;J#qIkNYPDs;fJCUS;{(K>*;gJ#v|SR#fS#G z^j4DC(BO#9NMLDI5IWv-kw$T{!N&g~`meHwA4&>e_feuSu1XUf4F>+Y_@-fCnF*&mqge$f?1QA|6;Zh%ZCj0I_G4F>P^D=p;93{cZQvLHjI&IUvmSeziEa>R!p6 zA#PorZgposO&TDvQ0_&))6RYO$=$koO25U(M#~qAv79Z=5K(K2r8OC%a?NyUQ-*ka z%_D_#GRWxj0!+Bht@NG`#pcpTvMXA9!dQLvd8d40cH=_n-VCv?aUVTauid3RD#fEs zk4lf3MZP#B{oX9VJTW&`(QcU!2hWx@+$dJ98$0yCkwcC}%a7vtTTAPKBL`N8G|Ed= zx2-01C6k%s=tQ91#1&GDD%DS0Qf@{hYilC z`44xD%YXRj%?pTE#^wL&C_l(<(Vt(qOMm_r{LOnjZLxI$|8?M2$rzaY{^_v`%1nXo73DU=fHJ;zu;3VWb+SRXi(oQhi(hTS@Bk{ z#%1D7YuJW2EuYiXvm@C7yCg+ zlk-~^5IE<~nI^NeFXt2|i%s=oH`eS}pqm}x30ucfHrB+f*Ue^& z(&r|K#s!-eP>lSy-qb`jwf|gsJ*BKgIr&_=Cx%LjKW={A`{f*qie5ssRYfelW#WxU z^yM5I-iSnB&avZ-NcrU)2k=Gw^5vW?6?62KjW;ddAiQb$a!|9DZ?K9W%9q=dZ^-(L z4aTd%m;ulk$C@w?(zK_su6YBo?9Wr!B8pE(E`Nnc-A1oj0!q`w=UE&!VRT)J>gKppj1!8G$=J1&-J1*em){t7?e>vuF^<-D_XD7g`HzTF%<+> zE#W%kS|0@WKh^-6sx{`V*97^ObMn_)E||3j+2R6`aXx*pM$j+k1Stnz6Vsn{NRSry zK3hbO7oIJo$0_Y$d#->&v!5%b$A;(JNhEP{Rlzag?b3B`ULd^>NoStRrySPjS4Mw1 zXYuK^DlCY2@p~@SRDepq*ccRj(45zoh>e%YIQQpc)|ISp zP;>4G<}2${y8H{0iPRab^qa^-4DZgTxQafd-wfuf6xVILoeeKY z-kL(MMXfe^)U*Z@hN|d`*3kEkz88ImS_@54H$tc=5o^OSPlwgTEo?tm_GbIJv2U`J zD3Q5+MRez!w8hg@VbcjRZO_U5aZdQ5_H(n|*?67={*QpW{oHJX9_$HKAw;t1$2lUZ z&B~b=(l)@KTj?LM*vaOcKcg`^!GBkx+ zY{4905I^pC0JV7kv>ec|`Jpt?Z|6FQ%!q@UtW8~1*_m`JNncu>Kv{il=lwGw)SMhc z#CEO)ktE_cMn-Qa_Oi6tE7De8mb0NJBpP3k)ykj*^{k^=*9(uMaV=eF%z*Z^ z4CX)oy9}BX7v?`FgcD<6sNDKz`G4x?+kf``|LbRh_|uC;o-gO<59!xa@1)LrJI_-`o^d^9KbAg&TVEiwQ4H#nJdg%zo;$*>&KtRJ?~siHWqUd}F^H zthE>Y{*WnBNRlt`{i*sq5MC?q+2@2Lrn**08W+L{@($?F@j!6*HZ_D?-i?<@`@@n?|Q|P z!K%U!unTfNyHJ)tt=m_c3PN;(OlN6o#F#q&$_NP~Huu#WddzyY*4*2dz^!~rd!agD zru1T2eD>;dl=s2^@<!>OemNTMAW>|u4TbO{6ps5;L$(&0gb*QA3r+nr zRDg2MK&Uru7D9wqfmChmN|9W;(`XDyHBAInnZwy=a{>kMhFL+qW6WL

t@Q)^!h>wjUQjXk@{5gmzlrn zoa0`sBr{03YxneW(gWQQ;H1tuW*CC5OtYv~=gv9hi+`J(*X^rlKX?0^l(%g0?a2>x zKhzU8F1{t1%@19&vx$LkWJw9FqVkQQQevyP>y3pmGfHpr$6@1u_C05b({Grva@n7^ z(**>}Gd^t2#o-Fh<}A7~?Zg>sy7q&c)3}K6f*97G7=plr zy-Igmyt)&w#ff*@Q@yxr+DsdX-POz1;Vj1nRO5_dWvDvAMcb3>uvgw)y?irF51a8+ zw;Te2I;Rgu|GuwY-b%O9>KSaIY-)o~y`8qKfi{D_uYPbfTZwB#K3tOYNs@hKCiV)M z^gHzgky-k_dgW@#7o~GusuqvDSsay8GtZw^GvANk{x?%4JWjq@=zhhl?ftm{i4~h4 z*s(!NWzFTRWesio+1O?WV`CcHR7pVORa>4 z?`X@`+%9SNC{@%nW9z+%(AM#_$lr~tGk|D!uJOv6#lGDOhtl~6bb~F=g@p#c5cYA% zIiYV@+k_W2dy>5Q!K^y$htu9zWX;iLiEV1MIDIS%=W(NImZ_UIw4+5;AYBbd)$D4X z6f7Ic(>G4r43brPfo`R+VYK$8J~XAOf{@ zsyb8c`3ql*nR^}5nQC$W-qga2!Bz<;p<8y}2Rz`3FjsVqw#7sp#CtQbI@NSBSM1+A zUBW#%>pp7|U{ZJL0@(L9GCIpe#|XsieW`q&xO3mt-p~y^A`~_A=!~+4M`yU{{3Ezr z=L!R5cq|LE{pHenEe+T3dK=FxJ2x_fo~}wV5n2n z(O|=ByY@Cw`A)h4{ZfNU`&OPHeDCOs=+Mfg>*H|Nz+=30cPut1agrj zU@>cZ-=V!~+GZC1cM3|#B1yf$85z|AN%!>$y@Wm;GEq9kX%3;)4e!G(-gX8yM~n+VNuMdI2^0S=_j}I5Z7+rQXE~# zrno1NFC6*kwAJZqT@$6zNhwOp!IpKJ%2aVklhlzVBR)CE%)6@GD)ULWpfsI%#NhX0 z%5mBXBQ4yO@Q=NXR1e8kJNBEulr)KUx?11l!x57=AfFuBEzD+K&XBhm@hvpQ=$Zx& z*ybh?Ak<~zcAQf%(mVS3m-kYsyPv&Rm=lswl(Q4nk*LFa%bDsrwWK{I6Itt8mJSug z@27fctWqT{IB`uwE_B4}bzHrocsgE9SFY~Dp;YZ|JRMf5XgpJ0-bB48i2LIn0X2hg zi;->F6w5YmGBBHZ;|Jj^I<{iQ-H%-+?W_V}J{e3F%`&~M3C!9Wy2rLI*eJu918RJ0 zFnn9^ROW~v!S4>9U%0Z5G|nl`IC37Y&H}Z(-bsC*8}O-^qRvq#7X<5_ACNVHu_jpY z+$$P9C8G-_uj)C*0zs{5rYQ)LErb}rxB5HqnVp@%R6+v(06-GKi zfpZOxSz`45I_VX&XxaZ1{4bRLcNv$ke0lJIl^)L>D1m>LZw_QQ5^CyJ!QTeUgyou? zizL+4ZlgGw0%`i2Wva8GwV?--qL8!-bEpfgPC2MHe(S+vYT~(rZZLfvs4v;RF#T~e zUHu@66J`#Wp7E2MAgn9}7Kp)b2zEXXheHYOs37(!|1WV6hBnIAwJZu^@v+A=|1`>D zbp^rmOkRJ8lqo@E3^pzUO*L#&T*WXt(17FcJ?Rn;9af;YBxi4WT20xigqnG)GI1%H z%4KGkGs@E&U&$yx#ghy=Rc+LmIwE+Q4rKb_PT?%mosrN7Jb;He49sIGh zVe5=MAkZ8jk$ZyeA?1T^wNd%d(9s9OB*#MsricMNrg~e4X}K8r@nna*?>6v_(Pc=W z8zasy8)cnK3znj`1#VEOCmZhaHy1x)nzI>(nW0-VG93zrwASMZ9u&lsD4VUQKR*z(3Vts}7jL zS9=(ih3t5NiWu@6Vc1f@91$vl?B*5NY3_@ln*d7KHq@~ZWn1Zn`v;~ntl{-8!ouSK z31R;dr^d56I6aIjm_eF{+X6Q7{iS=*sA1olH}4k!wTmZ@Tpih7Jr-aBapLbslJf{C z63a4xCEy&*%cM5l%>^2OAzaN<2SQu5eH%Qpb(o@>dcYAgI~G~B3bDIg4m{X)YQ z@npv@VJHQS^1c|-|L-vb$6_jme%}L9FNUJ~VkjOM+9y4z4vQHaj#ek$iMWRBUjH z2RltUnmX`4z!0L+0VsIt@C;y3c7IYWLeGvw*LLglUjs}X# zQX>AVAyJ?Is0|!|G2yG!n0bA`_(9zERXi?~Z~PjKf0Z`vN^FFqX>;FZC5>tH{|lyx z7r9^CqBiydG5c$WB?&;F5_sFQ=6yuC=owlqkVshi0i;pme~t?R$an=n|2KFT{Es1d zH}`*Fcv19zdN5e7G&C9P~)DKjrc)Hch z>@KQR)1=nkVUEMhSBUmpNNB=W0nI2r@Wd6O{h5(c6S>PH(H_%Rw5N(^k7aqEff)C( zUPpd?#dzQ?+*uBaa3d^BmrJN#5$-Y7K{{!(AiO^n%~8Rw_0Qze2ng17G&|mL$)n2Y z`*GVQ0@Ftv@_0=1VqiR~^}mb&(O1km-Z*NqTH4xrK$khfN6df>k;5r>EpADWgwWX< zAuCa{|3kHw`3{=Po)sHU+@1IUa#B?k^CL)0?-io-+hkGx&8(OUeNvwi15ex?`*JWQ zgf^$hJ9&4^qJrL9{#(5M?cFiCBYI<6#k1esZOIMhA^7g;lWM^DrdHiz$2ZC1gOjsj zp6gRvt{C<0-8tU{W5WCNr4ez|$G-jBJX{<-<(NGaNkA~9uIwKW%tp)P5E_mI(bEW( ziNJ{iI8>FcPo8Uoapa*URPJDbNILD1oL`8N)A@Xtxb1X2Zxbs{XN8@v9^2d|cAVac z^D6Vt4CXh8wP)hJ{e$+KJE4YHWQHp`?)5}mhFdgKFcH)IPX@MA)kjrrdb}DJi8#IM z`|4#4DK$1M80@RaJV{5U20P2uBj1*ko3NO$ZcD4l+;*z^>qfGEkd_?*-lnotANt}| zjZw2%8Ps#~RH1i;ta4;}#XBr{%hiTMi;i=_D?K{qNgz1qz;fYZ4~__xBa5F_mjtU| z&<>^E&<}%pP1Zsk=4?G~kX-KFEUqx%El$vZ1U=WtZ^Viyq<>K12Lo)uIg?Eoy zSGaikY^E1}_;h~+YaMGKEj>-Qsi3OEjTUbLOCE(Tw!Gm!sinXtZ7rym{#dY5dPW_c zjJ<>OllZ%8+a1W$fIN*zr;%%54>qhrzDK2Jsb2N?+8s9ydL9LKVOhAm;RVTG@UpZG;jjE@NPi85+oe{7rEO-U??DA`OEB?6yR#wv zeN=WpYD3t%EgR_{puSI}9SGNL%LPD7Q1>0upAddWQ~*o7xkf*$OwI!Lqg6 zX7$9EwN|lZ!-5IB{1#&e>R$$@#K&#)PEQIiE5a>U3j0hh+fi{-S1XhX6DalDks3Vr zZWjsNuaU3gL)|%2$6Mm3?vh037X~{eM}Cir@RNM0&;zHPzg>UFC}t4O?ga70`7~}6 z|2#i&#HFu)n`LiH1t-kT!brlc7-X)Vo%Qzs17|@7ut9ct)>UjIifWaaTx~mlb77|> zWggf${Zb8wcb*+T4&yh9zyEm6klj^sGl@D{*6+skOOj`V-cXnfR6Su){gXF}gRyA> zsF%bKfuYh1()t{Jk|!&PoR4w5tkVu?dYInfV_}$9%KT*Oq6@_qvw9nrotmNC%XvPE zY~y@Rzs~BiW?a97Q7{Zfb|p#TLl>S38WU-JTWDs*@3Fa>W)MmvU%E8Nj3aB;Q94P# z8ETxi?-RNgh)cE!lpG3cI})|cRQ61@(kk(!$k6SeIm%xpUX~Y$TZ54`b&xv}WLsG? zjEqggOKIk*cZSzA16-ZDTc8i7Ih9f!AM6d)LN)KJ(!>xfOwPn01Z0p68E5ID5>-!T z_M9|Lv_D_V(xYDNZzK$v3(eydmG4a^>5(|r0;bgY>O2T7`E(9Fm{sT<-PvbWH^Hv z<@4){HRmvw5GJ>s)Thub(4T&CJIS9YT~#Fx)2^q=&Hq)(bOwuANe|8pboZ z^wQZdp23ZmeD!7 zb_7q?0HipmBt93qO?cwY}I#+@3?X%g6H76pOR|esnU|;N}+)djisxhy}nrC z`$f6az$Zq~HRzP%^{SIqYL?DOeP?U!^RJ;)if>Mk%?9AL4mRY%~`Guu&cD zN(*bH!890b`qZRBzmK|1iV@qWaQTmqF{dv>&fIh7L^GnZ-BEz zlntgU&lO5djJ zF!Fe7Z#B%&pXpT|A*|CFATu8j(i#WE0IV}{JT>evI&oAv8wcrai{~n}E8}?$QGIJX z-^U%w;sic8iHJ`_KV(fLKZMaqN^1hIblA)LG7C@$qGx5tLR_>lz42OgBsZ2Tsfj#a zYD-e4B=XdLROWI9u`05K>y`IM;TaFRzJ3_(@9nLL1J8lJ0U2FJ|nZHhA$lB;n5Py7Gkxy~tRp6ldZ z&vlADl`DYo^;C=i=mkep`46e{PAs$CbE3|E&xu>s(#6pjHV*z7W;M<`pOf_6uXCYt%DLM3Wv@vPnGgNsVCxI{wRiiTF5 zz;;8{?_KADuLsIYlN;>};$VtjE(u@lg4<&vV#tWUj)*xcv?tLUA9Sdt{C5T)LNm}$ z89ZHj`-GBi=IQDTWQOym6c*P?Y?G|Ov07HxCn{t7#>_LrUOur8JhBn5RCu46mkcC6 zHn6qLKo1<*(X{%f9yP=_VkBMnqytiP7zN@>Oo6;ms`B8o5Wp zu{C45%bZFh3=i$*))OeNFE)>8B_-t&BDRdvoZjpKFIdbz>Cb={j?n;^RRatP%_qo1 zfGBVOs}Foz6A>{QO4p#Ca8rZqRIU$sOqU(y*3s%8E=rY&P+Z z=IR;lr*W99@$h_AqC~Ulw#n!zd3-dmsq0ie!2u&|QLB;#ol>Pu4#Iu?VUn9Kssj?G zpN&tkM3-R2b;EI65#k98nxG(8v7589v1J{m{LaRQW03B!@pw3{Q})@oH8+$iTXlmY zGjf;nePEw$)_4;9f5gsMn5u$|g9k?CeH%|xoOV7#^|BTibGxc__IO!S9<%e?t;AX6 zfD>++6o%i+tQkfD^cjdPz)}M64t4PKFv^#$OmpzzsxP0HWohG6M0oscSNQV5@X`@r zh|jXY`?uH|BMxnh;%5O2R>+#_O+x;4<$I`r9B6NnF6@3QB^i&slS$a*@)`wOm zUj<>Y!$BGMS1D0hJjWhtfTlEfEwbHw*zVB5f=bLBy2l=-+>pgh-tPg!V6$M~LQAk< zFi};PWb0~b|3+wD1oN|4IeAQfBCopm#7i=4AUfM5_B9xHc{AC*PmFT6dQM94QsZtt zIhGXXimlWy&G(Q`>JM+x6uwvWMdXuYDIU!3A&)}dJJgv4F08g9kuni)U6S1@07(FD zDvK|SD0Md(O3RvFl*^k~yad0UaIc0vQ(6`N0Z6g0v`VbBOf3Ur4~|X1DM6`QWqvax z?US_fF_N)RvaN$~rOpve*L~xy#}OBJ%_wS@XgJfX8iWjhnSl+seUr-?+f3!_;O=Sl zr2u&Jo^JmJ7`c>L=D)y?k|5kX9{GthA@a!}-$Lb^96qNylmwSe z4fK76z;`&Fg}&P``D)d)_)npDfF_E~VAj^361lyeyAxCjFAM8`@QF zyH4*QpVl@UIi+ccE`F-M)}aBBzx6<1nv?SUh`Ke8e4AkHwdKDc*KXFqXB5rwvN0A+ zUV)XcWV?~IV4$}+VBg0&Q%4hZf-O!}6?S6u(?Cq~t(lPeh_fTh*s zB25zPavuv}(Rv5LzX*$&((A408Fmi`S z7J?V#a3C(MiHyJ+@}#XYIzydG!1q%wM_BKtBM49e{=}#-sWnI@i{cu_ixMZ6T5(KR z?{dP$FBK;Tzx|bmhw-Ziz)8^%OZHWKu=yQE(*=EV=K@6a0p-hKe6;tC?TwHuKVgM< z<@Z8D$D%2nR*+Yw)7BKNirRGZ3;a&)ddPv!BXd~8b7L@$|{c`Hq(G&vCmup|45~JBBV+>oC`va+uNaHNeG1!yB*RD9H1t( z-2mX7&|5TMTDAkQH5RkXz7K>uNQEe3IwUG@j^ObVY%)yH=;%nC(IxV<7cXy!X0f)x z#!M+6yh3u%HnS4L%)>e+ktiT%q?$d@Vw?ueV47`kicQL5N_rtruO=8AGl3AmV=2m# zD1Qi!@X44ZfDDV#$x~QmGa)6^pBK;{4h8;Iv_XB+U`8GIMga9m?T-rbfDp*<1!~nv zcyMq~BrEpeJUj6j52odKs9O1$EA|4O5oV| zgbXT4HH45r2+$@I&HXf~6LXdG1^jAWuH=p2gZYa}^$1QrVwJl_@Zy;EVogaKY_v*u zD~k(}^t#$+D!)b9SjaQIzuYuvep%C-wmX9OCow^irU4Qs1ST=JN;lAqXjk3`iNc+p%u0 z532SwVs)-hgGS~|QnT>WmrAPY^*Zh@;2r9}JFqI*lfXrC5*iMsmt7$n%Uaxel zHa89(Mw}8qiYJQf4~(TKtj-kqnB?CdrNen#?JGPahUv6l$W9$N;&!U*N!Y0`%cDFz zirc=*#%Nm=ksmLBNhYd$FTB=N#yzdHhfR%{ZSr&itO>DK|>mz8qFfesG%B#J*H!} z6nR$z!w+^K&}>s~KVZ{i>a^M9-3DYcuUymm=@=_mXDUW|cHvnEiX{r`zxb zn29pljI|8R<0K69$HKwPD)3>GE9tG{?TH_ItueDrT_+ExPLTvsB^#ng!02^Uh?zip(Nge=C4NcH>=Ix zQ1ZWPzNLiEwuYL2QO<1A;}{EOPRNV8qN|GV9ZP@%P}0Zrf-+$YFClZ^BV({3g6GyT zFiW8;`^NCfIFdOwtiY&?umzCHpDy#qt!)_6E~xYc_?%53aNcRh9WGL&a-rhmkZR!! zSS6-I6O-h`q9F}>KQeMi7%-H-)V7%5zPE*OptsP-9 zfmPs1J9vt-jHmDtWqcVQ=B7wmJi$?Llf_&JQ?}cN_ZBi+9UI76TsX}f2uyc8f}`G) zkNy&1-U4q#pGY^iK5J55E#rmC0XNs1Neny+jv*Tt8N`0X==-imAX>MIB~500TFo${ z2om!|x^*@6AmmFrA!y*B+esvz$@MNR9OxoQ7(SST;cZNiZLOiJ7n%X2%`poQo){&y zDxGCK!AtN`t)crJ4+X;^iS#nSqdm$=Y*zu6wy^QhUghqFHdEGyz8{PG>8IluCcFK_ zp-9_mF*8Q?KU#KulunjN|uU~Q0ZZ*12Dq*>a5btS5T z1xedk#fKzqZ(|Zo#lXPF#kMC-rH^v7Y$sQ}N^S+u##eonnH3lUAn{!lLF4}wtKJtY zxK-U0z%3Gta#{_mL*rxxcc_~JKhK}8$d%j^K|Ba#DZj47Cf_HO;3SNwpDKB!bm^2* zIhOxLYCH2%_&6?czE+7G4^!V-W%PJ{x70aZd2u{U1SmI4p#%#uRuB6(FgAn{M zo*xpQ-0d-ID<5sXCE`|9l{MhojnHhG6QX=NrWoUSLfUv}_W%bHT~`RT0|G){Xz_X2 zRla3f4(0s`+{ITbkrM&RTxIk`{tzB}C-O}M_`*qisMLL}^7tg~jtUL0udh{(P2xHH zEhTm`A7=QqnZ_|~@7Mc>quEq9GKK~$dR|8%4v1OMrU&N*YoAFMT$GVI* zT*^S2--1uye2|&B>PMUb44r(2L8|Bdc%S#ck6QdEnc1u8gmmCvz4-#iwRcwr3&$Z- z!wAY?m&190>GKI(QvO#SKIXXR@LLX4unpixqv35}zMO;5#+_LBC>?@lqbpIls-yHq zTgsix*T1EJj$FSRcqoB@es%^9wq@@2Z^ZN1Ky%B9881CGm0LL%f`FO{y8O&aCrO)oH&gz~X2F zKrJ?mvL2oZ<%%l*JD5EUFF&9u|RoO`Sg082~z)fJs)X!9+g^* zW=Z*A7M~}bnfX%j4JaRTJ%m^c9TUxj2PJ59TQI-Rp?z-4TV7+S*=-f>6{OX7N^3mOPO{{gk%(@{<&b)#MFQDU#s{ zsTA8K20SUAcYWmAR2uTE_omX1I&Lae#~MkzEDQxK2UqLqjr>I7TN8Kzrl~|0B12}qPbay>NVq9C>vtY_s4zZW7(BviB(2HQ) zDR#_Slsr2RB&?T9^1xNzAYaR)iGfUKJl2fW3+078TG5~B4;M!(^~GMAvIX&dvD^B*6$$P9F){XjhS z*rvF1S9F74Bzj|~ggd}u^3Vp1#&cIns_jkS*vPf{G*JO9C-Z4+H?5Um6!D4=mHHRZ zs7NjnJoL(OMt^f(GtG7twt+z7hYRJR0_qDUeFAHd3 z$lHr>+K1Lvqf{Qi(K5V{p6ivEk}$6s~k*YT#} zkxPEGl=79vD{|WN^oTXi)lOYTFif@AUb|*FW}osWm-M_qZqqyWg5=a9dOE<>Y@YRT zQ;^(RL{!M8a=dnY8VvIv8K%Ocm;~+SV;-=@#Z(?CjBK$S5yL}8<>HI zSCSL@CgjzXG++XxCE9cO`>3e%DzmdD9zibOQ~^GzWZcWtmrW$1{0?1W0b6Nl{n)~r z^<$4r3Wkc!&het~r9K_1EvVI`skZRj?!SX;>YSDe1O5S46b8wzn**T?C?FHM8szU^ zrkA-vb5>#U2wA#{rUI<}U=>Xv=v*@Y9y*saeIBy!YCPV{ke*siql#HikGtv69(zkE zVs+>+AWo}8M*zLr4%Gl!*A5*8w7wnsIUsBbjeNfV^qK+r>I;cnVS=s@wJDf2LCd?V z>4rhxMkIz%7s%^76xy5sG1=W@@aCX$D4o7*$?WK{wfA+kGSabz;s&r95?uw!@O0la z7W67gT(gG$oyEm2ticzwzYH#>aCk$C>A8ueQ+;)#>Z%Jv6OW+oYQzjIOuX%Xk+J3R zp(u-=Zep+W`=#;cmXtv2Xq7x&OcUp8{nY#x+S}fT&A$ZH-iOV#fZF@8xeic!A2z=Y zsJ#!He+5YIL%B%BiWkpGRcTvq)-2=OvyE;MhmG-d5d`W*is3t;>;OrD0x(=Y)VrclEaY8XEa zvrNM*17=Kmd9Jw-zDzEqF$t`pI_KfBYD&WYIgBGs)8!hxSXi@V;+=gyT3%j-x)Of- z^0%JX12;`3M|7(Eq?D#j=$abuW--i`lr%8$^ixj0wQCVo(E$*r_u{$(cRMB99nA-6 z_|&N2h^}mr8D+FcmY0!jxOOMcR)X(PKpbBOWWi*C>wsNSKZiW-7v)?~W525Ez*ITl zRf?2NWn>0}DNB19a|9n7dXE8FPMDAT_y`b^DzJ*Cva$l4Fz}U72jfjw>267pAFZPi zkzR@E>)1+g=P=CA-?(uNEjx1fdW!7L*BTOP0^?X1i|Y+b-LNqtRc5TGQK_A;HL!+_ z1nkA9fIi)x3YW*6!ZwIjqmkMbWZxU#|0v!|1L|)<9g%*iQ&C<3+WUDqUlZYuQozmR zTin38-?qtV8)(!hKb$~ty_VE$sGeY^vVUB6vDX=_v1ZK<@9k>z3-Xn|jlj)zWy^oH-#BOG5N02tJQ&HFyzE3xxDsvkOU=UbW9fOmj?Z)}9)CP1KB#8t z`9VTc=`mX1BDy9o`(>N02Uoys7w(XNRLHV_qti?tblRUm_ypgE`3iaaJ~SrgGvi*l zceHZ+^$a=xb*zsnTI9*s=>z3jrhMZEl*91ujbLR3ZKQGlwG0r}AaDH;OIL4X#2eJ4 zyq77vZ32_fK-R`@qK!0oPbTJ;SO_WQQ_(yX?O@}43ph3^FThi}JZJ-I}FOvIIVU#n@1gjrTd2QErT$yO|pY{5epU=KTKR4a||}cyH7qZiX8ASEz<|x(}f~v zYhyyRv}~i*DZevv?P|*n~XNIrG3T();5{aI1zj5*G zRM7D3D6!1sXu{1D*4sf~n<;AVxaxkDRR{Zd+-(6E*}&UGgY&9u{hkB;_PY-wZhGrU z&rZlv*7S3uY-KYyo3$QwrT9LWYQ5s@D){0Xgs8apb6li%jKVf##10zJi;3pMkOX#q zVDL||joJZ8!yEVXGce}RR@|$MdPU@F4@nW9MVpz2DY`mdHcoa;Vip8FK8wDM??c5s z56t*wyun-|)^^4-n7e#tYnmJQ!`#jf@#uIgpjzvrHjBd^4-$<2t++Q6!W4^TrlhqY z758!+OgqjyM%Zlu%6Zu;>B)(F{P7);(W@iRY)AbcpLf{P(9AqI>tQN_Y!PSeF7lnq z4DjNuH6%iCUv9xOvY>(%_PxP;1|Ug5-Mc9Hx663do5Z2P=W^sH6*Mzg?Vs(=g8M5( zA9l$xKcdOcLau2GzdX2J-kHv7o$Q|8dOC{tv4118wyU<=xGiREoLJhq%zlruWQ<9=TL=0^~9WF2b!VVZ+mt_M4Hy=P0sJvRDRRc;Ss-lwN>7>Wpi zcAYt5fB7@$Lhj~@d;6mDz-;2KHj8Tz>rQau`g`b%R=I8`MHQFYAc+IAP2$(inXOas zD6$Xx(9)r{vyyx4f0c$qq*4E)p_@Ok3VrDzszH91b8sa)4U;01oG(9Me>knnvm zr5t7Av1@v9oP2ASFig$(xT)c$Vu-d^3NI0ei1rfEwXI%jfVZNKv16!7!8n`Qs=9mR z^1)vQWL*LvnvsSLuUq7bQ{~U2(RKYR-9;d%p})ty0=Q+sy`aG*Se=zR*NPsBqXs91 zOgRp6wZSKAehh~Ce{(Wdf+rGv`n3_}{_NoccNn(g{V5uGH)3N;U@labC?C4xMP2vrTZn| z2QfTF3>u4ywsAOKTS;V81)?&BFBxec8vb0PGOOW3csnjHz09!;Fu%naZp>wP5(}Q{ zi1E+s3D|z@6x<}?f(Z!=OLaWolH$?T=*rYy1kd3iOcs&y?k*Z%d<8YL7}pfAwwX$8 zEp)xiQEyPn2Lvrz0ZQB{mu~i#aW16s&f=56pMhXI=jFIUpST9ZO>gBm{Vn_rMAB!pPAxAP>90-m+rVSP!2R0b zH&KI3+5-lDgZ$4uv|st$RlCB2%R8{jAWN!fnjZaxykA9g^fdis+Fl9`zLCf5uWni= zpOI_#g2DT=d}}Y{MxK_R?xj9Cd<#Zc!K1{I-Fx-NX7-P>nHypTJEsZ8a~c-tTE=?> zvwoe2+b3-PaVk!j(BbWAM7*(TpoW+Yz;ixa9ZKDYHksu1ee|3H@WDP@oC*Cx&fib# zC`n%456+S1J=x_~c=LP}kyV`}lMZxXcLRH0!yebMtp{jeFW31pmNGl?^W0K7?MjhTanD!utFS2|^AA9G0;;F~vQ zs=gw>(^i5PB)^=^al0DJ9HA(arIfV%|D=waZKdB0N?AOUJn85An*6Ab5m2PM~W)K%S9U+wge|+SJEbz=SyF zise>L%nhB{Dpwr>ACD&sOQ|-v25kw!1lLRuV_-4Sym;^-Htzq6SR07tvO%oud6-7V zG7;Phyb%}FVIWY0eGCSAiR_PM1jjWc$Tf$lH?Dcf9fv7uiuI2jz0e!IP+!1`M0Q##_PWI7QNgZ*crf1 z2NV67U5I_*mz2Z|@R`g2Kl4kW_*-({#`7>FzzocQ zcB?3scB?2|+O47lwp&H%3bQAwmLmwEGA$I05bK%#TXHvqHco=MlRSpHUpSAnR%RE`VF%8_zB240q(0^>yUb3{&W4Dcl;1S!BC46B2Ba!fPWzfWw(Q z(65l11mZL!9_T|h;-TYxOnGQ{nDWrldyPG?g^5X^OmBrAXPEUU9#apS9+R}k!%SU0 zlC4in(jIpQ&bP4i#|rb>kDyk+r1ZzinxsAO%gMc9I)WSFg?npov0ot{fPiD#!fkVp zLDeWiH=f`n$D8FJ6~TGEJ=XJ^J*F9QxSV_qTF$w0yFrXtV%KbiT<(=zldE+4g3J3@LoE1QMUa3O3KMho?5PuFvMgzPtPb6*^%bkAH1E$!O8$i8}SU_s)o%~}V8 z$i)AkX-=LmeQ@)NO+CU0fKIQ1FUK}|?| zq7w!ceiytP`pXZ640)IOadUou!dvOMcWHp-a&oZN9GUtqjWF$Q4U?PRrCxzOAB4>I z&(PkBhmkDq@4DDoObDW?&OcX1yKIH_n&RDg%_2>YPBh?~91>?s9T{a6`}g+{2P*kv*;BYHd5vec*%VF}Dx4n1 zTh?)UR<#=MX6C29=~AF5Gs_Ltz-|LBjx&QT?~fx8Zvxg$&I_?%A6!#Pj=Kj6VKpD# zba#PkHVV=!P@vSFS^R!b8b75f3k!NC;C@PF6<<2LpHj7r_p=Iw>cHxZ=CkxcLyIe+ zo=j(d@Y&5*DNv3s25Foqu1KWDSNmz_2Ks6>00giqBrcfaykPR zDxY%N)AD(c-3IQPrgdM>Zx6xNp0{|Rs7TjwFF$%8+aXtN$Z4!@`(`d@VVMxD;H*&) zj@hzEgB8c7<#Kid&1M;--3_!)Il5d==ZYZpCznBgxl>+l@Iw;A}M@QX}BGz|sofp4yC@b82W)lUeOHaJ$1?9nKSOvl0I2xmD-bt4>#a3R7q2*V(vx@$bC^#~6|c%zdBtBn9+ z06dy4)Uyc3BRp@WP(iy$M7SE6W!b0nl8H=ho74OFH(nvN@k(vq&*&5L?0M@*O?+Q; za=T6n)df7qu|q-HZ^{oqx{r%Nobz>3Xb*gR57rQptM#C2NnAlNc zVn>g+O`bc?Hp)5DDYxFB@An@)s=$_+Ubr}8MS84la8|T!^r%_3d2^=$SSe)iO{hki zcaT)an;kCVG5DHKoNqdBxcag9qQ~I4$KXc?(C2zKpC*<9mv{J_Nj5>CnZRC3x!7B{tKzO zIXOA&R|_=-?kTtsxD&4k^~Z3xUXg!jq1fqHHVE}P+%33fIEH=QL%?6dnO+lW7dR*# zQJ-D9*!E08tZmX9TlUJuFBI`ytetWXa*l%oA^JEMuMSMU1?x!-g=62>)vv3a@Bp%q ro0nN@FFk}1?YO`{=+n9BeyU*S`%Al?3f0RvEb~FuxgUWS+Sc}WD)A2e