From e07f9b111e2441d6b3087408cb4e68fa696e600b Mon Sep 17 00:00:00 2001 From: George Hotz Date: Thu, 6 Apr 2017 18:11:36 -0700 Subject: [PATCH] initial commit --- .gitignore | 4 + LICENSE | 7 + README.md | 41 + TODO | 11 + __init__.py | 0 board/.gitignore | 1 + board/Makefile | 10 + board/Makefile.legacy | 9 + board/README | 9 + board/__init__.py | 0 board/adc.h | 39 + board/bootstub.c | 22 + board/build.mk | 54 + board/can.h | 112 + board/dac.h | 16 + board/early.h | 84 + board/get_sdk.sh | 2 + board/honda_safety.h | 70 + board/inc/cmsis_gcc.h | 1373 +++ board/inc/core_cm3.h | 1763 +++ board/inc/core_cm4.h | 1937 +++ board/inc/core_cmFunc.h | 87 + board/inc/core_cmInstr.h | 87 + board/inc/core_cmSimd.h | 96 + board/inc/stm32f205xx.h | 7666 ++++++++++++ board/inc/stm32f2xx.h | 209 + board/inc/stm32f2xx_hal_def.h | 181 + board/inc/stm32f2xx_hal_gpio_ex.h | 299 + board/inc/stm32f413xx.h | 14994 ++++++++++++++++++++++++ board/inc/stm32f4xx.h | 271 + board/inc/stm32f4xx_hal_def.h | 214 + board/inc/stm32f4xx_hal_gpio_ex.h | 1591 +++ board/inc/system_stm32f2xx.h | 122 + board/inc/system_stm32f4xx.h | 124 + board/libc.h | 378 + board/main.c | 859 ++ board/spi.h | 23 + board/startup_stm32f205xx.s | 511 + board/startup_stm32f413xx.s | 583 + board/stm32_flash.ld | 165 + board/timer.h | 7 + board/tools/dfu-util-aarch64 | Bin 0 -> 116048 bytes board/tools/dfu-util-aarch64-linux | Bin 0 -> 159256 bytes board/tools/dfu-util-x86_64-linux | Bin 0 -> 152156 bytes board/tools/enter_download_mode.py | 27 + board/tools/ota.py | 132 + board/usb.h | 631 + boardesp/.gitignore | 4 + boardesp/Makefile | 49 + boardesp/elm327.c | 34 + boardesp/get_sdk.sh | 12 + boardesp/include/espmissingincludes.h | 78 + boardesp/include/tcp_ota.h | 17 + boardesp/proxy.c | 182 + boardesp/st_ota.c | 172 + boardesp/tcp_ota.c | 437 + boardesp/tools/esptool.py | 1309 +++ boardesp/tools/tcp_flash.py | 85 + boardesp/user_config.h | 0 lib/__init__.py | 0 lib/panda.py | 139 + tests/__init__.py | 0 tests/debug_console.py | 27 + tests/loopback_test.py | 95 + 64 files changed, 37461 insertions(+) create mode 100644 .gitignore create mode 100644 LICENSE create mode 100644 README.md create mode 100644 TODO create mode 100644 __init__.py create mode 100644 board/.gitignore create mode 100644 board/Makefile create mode 100644 board/Makefile.legacy create mode 100644 board/README create mode 100644 board/__init__.py create mode 100644 board/adc.h create mode 100644 board/bootstub.c create mode 100644 board/build.mk create mode 100644 board/can.h create mode 100644 board/dac.h create mode 100644 board/early.h create mode 100755 board/get_sdk.sh create mode 100644 board/honda_safety.h create mode 100644 board/inc/cmsis_gcc.h create mode 100644 board/inc/core_cm3.h create mode 100644 board/inc/core_cm4.h create mode 100644 board/inc/core_cmFunc.h create mode 100644 board/inc/core_cmInstr.h create mode 100644 board/inc/core_cmSimd.h create mode 100644 board/inc/stm32f205xx.h create mode 100644 board/inc/stm32f2xx.h create mode 100644 board/inc/stm32f2xx_hal_def.h create mode 100644 board/inc/stm32f2xx_hal_gpio_ex.h create mode 100644 board/inc/stm32f413xx.h create mode 100644 board/inc/stm32f4xx.h create mode 100644 board/inc/stm32f4xx_hal_def.h create mode 100644 board/inc/stm32f4xx_hal_gpio_ex.h create mode 100644 board/inc/system_stm32f2xx.h create mode 100644 board/inc/system_stm32f4xx.h create mode 100644 board/libc.h create mode 100644 board/main.c create mode 100644 board/spi.h create mode 100644 board/startup_stm32f205xx.s create mode 100644 board/startup_stm32f413xx.s create mode 100644 board/stm32_flash.ld create mode 100644 board/timer.h create mode 100755 board/tools/dfu-util-aarch64 create mode 100755 board/tools/dfu-util-aarch64-linux create mode 100755 board/tools/dfu-util-x86_64-linux create mode 100755 board/tools/enter_download_mode.py create mode 100755 board/tools/ota.py create mode 100644 board/usb.h create mode 100644 boardesp/.gitignore create mode 100644 boardesp/Makefile create mode 100644 boardesp/elm327.c create mode 100755 boardesp/get_sdk.sh create mode 100644 boardesp/include/espmissingincludes.h create mode 100644 boardesp/include/tcp_ota.h create mode 100644 boardesp/proxy.c create mode 100644 boardesp/st_ota.c create mode 100644 boardesp/tcp_ota.c create mode 100755 boardesp/tools/esptool.py create mode 100755 boardesp/tools/tcp_flash.py create mode 100644 boardesp/user_config.h create mode 100644 lib/__init__.py create mode 100644 lib/panda.py create mode 100644 tests/__init__.py create mode 100755 tests/debug_console.py create mode 100755 tests/loopback_test.py diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..6454b95 --- /dev/null +++ b/.gitignore @@ -0,0 +1,4 @@ +*.pyc +.*.swp +*.o + diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..8a6c697 --- /dev/null +++ b/LICENSE @@ -0,0 +1,7 @@ +Copyright (c) 2016, Comma.ai, Inc. + +Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software is furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. diff --git a/README.md b/README.md new file mode 100644 index 0000000..d8e8447 --- /dev/null +++ b/README.md @@ -0,0 +1,41 @@ +Welcome to panda +====== + +[panda](http://github.com/commaai/panda) is the nicest universal car interface you've ever seen. + +It supports 3x CAN, 2x LIN, and 1x GMLAN. + +It uses an [STM32F413](http://www.st.com/en/microcontrollers/stm32f413-423.html?querycriteria=productId=LN2004) for low level stuff and an [ESP8266](https://en.wikipedia.org/wiki/ESP8266) for wifi. They are connected over high speed SPI, so the panda is actually capable of dumping the full contents of the busses over wifi, unlike every other dongle on amazon. ELM327 is weak, panda is strong. + +It is 2nd gen hardware, reusing code and parts from the [NEO](https://github.com/commaai/neo) interface board. + +Directory structure +------ + +- board -- Code that runs on the STM32 +- boardesp -- Code that runs on the ESP8266 +- lib -- Python userspace library for interfacing with the panda +- tests -- Tests and helper programs for panda + +Usage +------ + +Programming the STM32 +``` +cd board +./get_sdk.sh +make +``` + +Programming the ESP +``` +cd boardesp +./get_sdk.sh +make +``` + +Licensing +------ + +panda software is released under the MIT license. See LICENSE + diff --git a/TODO b/TODO new file mode 100644 index 0000000..65926ba --- /dev/null +++ b/TODO @@ -0,0 +1,11 @@ +== Needed == +* Change the SPI interface to support all USB commands +* Support panda lib abstractly connecting over wifi or USB +* Refactor the Honda safety code to be universal + +== Wanted == +* Change USB to use interrupt endpoint instead of bulk for can recv +* Write elm327 emulator in boardesp/elm327.c and make it work with Torque +* Write a kernel driver to support socketcan. Kline as serial interfaces? +* Write a Windows J2534 DLL to support windows tools + diff --git a/__init__.py b/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/board/.gitignore b/board/.gitignore new file mode 100644 index 0000000..94053f2 --- /dev/null +++ b/board/.gitignore @@ -0,0 +1 @@ +obj/* diff --git a/board/Makefile b/board/Makefile new file mode 100644 index 0000000..7918a70 --- /dev/null +++ b/board/Makefile @@ -0,0 +1,10 @@ +# :set noet +PROJ_NAME = panda +CFLAGS = -g -O0 -Wall + +CFLAGS += -mlittle-endian -mthumb -mcpu=cortex-m4 +CFLAGS += -mhard-float -DSTM32F4 -DSTM32F413xx +STARTUP_FILE = startup_stm32f413xx + +include build.mk + diff --git a/board/Makefile.legacy b/board/Makefile.legacy new file mode 100644 index 0000000..8d1456f --- /dev/null +++ b/board/Makefile.legacy @@ -0,0 +1,9 @@ +# :set noet +PROJ_NAME = comma +CFLAGS = -g -O0 -Wall + +CFLAGS += -mlittle-endian -mthumb -mcpu=cortex-m3 +CFLAGS += -msoft-float -DSTM32F2 -DSTM32F205xx +STARTUP_FILE = startup_stm32f205xx + +include build.mk diff --git a/board/README b/board/README new file mode 100644 index 0000000..3aa8a2b --- /dev/null +++ b/board/README @@ -0,0 +1,9 @@ +== Building == + +./get_sdk.sh + +dfu-util from +git@github.com:dsigma/dfu-util.git + + + diff --git a/board/__init__.py b/board/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/board/adc.h b/board/adc.h new file mode 100644 index 0000000..33a0a5d --- /dev/null +++ b/board/adc.h @@ -0,0 +1,39 @@ +// ACCEL1 = ADC10 +// ACCEL2 = ADC11 +// VOLT_S = ADC12 +// CURR_S = ADC13 + +#define ADCCHAN_ACCEL0 10 +#define ADCCHAN_ACCEL1 11 +#define ADCCHAN_VOLTAGE 12 +#define ADCCHAN_CURRENT 13 + +void adc_init() { + // global setup + ADC->CCR = ADC_CCR_TSVREFE | ADC_CCR_VBATE; + //ADC1->CR2 = ADC_CR2_ADON | ADC_CR2_EOCS | ADC_CR2_DDS; + ADC1->CR2 = ADC_CR2_ADON; + + // long + //ADC1->SMPR1 = ADC_SMPR1_SMP10 | ADC_SMPR1_SMP11 | ADC_SMPR1_SMP12 | ADC_SMPR1_SMP13; + ADC1->SMPR1 = ADC_SMPR1_SMP12 | ADC_SMPR1_SMP13; +} + +uint32_t adc_get(int channel) { + + // includes length + //ADC1->SQR1 = 0; + + // select channel + ADC1->JSQR = channel << 15; + + //ADC1->CR1 = ADC_CR1_DISCNUM_0; + //ADC1->CR1 = ADC_CR1_EOCIE; + + ADC1->SR &= ~(ADC_SR_JEOC); + ADC1->CR2 |= ADC_CR2_JSWSTART; + while (!(ADC1->SR & ADC_SR_JEOC)); + + return ADC1->JDR1; +} + diff --git a/board/bootstub.c b/board/bootstub.c new file mode 100644 index 0000000..a89214d --- /dev/null +++ b/board/bootstub.c @@ -0,0 +1,22 @@ +void *_origin = 0x8004000; + +#ifdef STM32F4 + #define PANDA + #include "stm32f4xx.h" +#else + #include "stm32f2xx.h" +#endif + +#include "early.h" + +void __initialize_hardware_early() { + early(); + + // jump to flash + ((void(*)()) _app_start[1])(); +} + +int main() { + return 0; +} + diff --git a/board/build.mk b/board/build.mk new file mode 100644 index 0000000..eb4ff6f --- /dev/null +++ b/board/build.mk @@ -0,0 +1,54 @@ +CFLAGS += -I inc -nostdlib +CFLAGS += -Tstm32_flash.ld + +CC = arm-none-eabi-gcc +OBJCOPY = arm-none-eabi-objcopy +OBJDUMP = arm-none-eabi-objdump + +MACHINE = $(shell uname -m) +OS = $(shell uname -o) + +ifeq ($(OS),GNU/Linux) + MACHINE := "$(MACHINE)-linux" +endif + +# this pushes the unchangable bootstub too +all: obj/bootstub.$(PROJ_NAME).bin obj/$(PROJ_NAME).bin + ./tools/enter_download_mode.py + ./tools/dfu-util-$(MACHINE) -a 0 -s 0x08000000 -D obj/bootstub.$(PROJ_NAME).bin + ./tools/dfu-util-$(MACHINE) -a 0 -s 0x08004000 -D obj/$(PROJ_NAME).bin + ./tools/dfu-util-$(MACHINE) --reset-stm32 -a 0 -s 0x08000000 + +ota: obj/$(PROJ_NAME).bin + ./tools/ota.py $< + +ifneq ($(wildcard ../.git/HEAD),) +obj/gitversion.h: ../.git/HEAD ../.git/index + echo "const uint8_t gitversion[] = \"$(shell git rev-parse HEAD)\";" > $@ +else +obj/gitversion.h: + echo "const uint8_t gitversion[] = \"RELEASE\";" > $@ +endif + +obj/bootstub.$(PROJ_NAME).o: bootstub.c early.h + $(CC) $(CFLAGS) -o $@ -c $< + +obj/main.$(PROJ_NAME).o: main.c *.h obj/gitversion.h + $(CC) $(CFLAGS) -o $@ -c $< + +obj/$(STARTUP_FILE).o: $(STARTUP_FILE).s + mkdir -p obj + $(CC) $(CFLAGS) -o $@ -c $< + +obj/$(PROJ_NAME).bin: obj/$(STARTUP_FILE).o obj/main.$(PROJ_NAME).o + # hack + $(CC) -Wl,--section-start,.isr_vector=0x8004000 $(CFLAGS) -o obj/$(PROJ_NAME).elf $^ + $(OBJCOPY) -v -O binary obj/$(PROJ_NAME).elf $@ + +obj/bootstub.$(PROJ_NAME).bin: obj/$(STARTUP_FILE).o obj/bootstub.$(PROJ_NAME).o + $(CC) $(CFLAGS) -o obj/bootstub.$(PROJ_NAME).elf $^ + $(OBJCOPY) -v -O binary obj/bootstub.$(PROJ_NAME).elf $@ + +clean: + rm -f obj/* + diff --git a/board/can.h b/board/can.h new file mode 100644 index 0000000..9a35825 --- /dev/null +++ b/board/can.h @@ -0,0 +1,112 @@ +void can_init(CAN_TypeDef *CAN) { + // enable CAN busses + if (CAN == CAN1) { + #ifdef PANDA + // CAN1_EN + GPIOC->ODR &= ~(1 << 1); + #else + // CAN1_EN + GPIOB->ODR |= (1 << 3); + #endif + } else if (CAN == CAN2) { + #ifdef PANDA + // CAN2_EN + GPIOC->ODR &= ~(1 << 13); + #else + // CAN2_EN + GPIOB->ODR |= (1 << 4); + #endif + #ifdef CAN3 + } else if (CAN == CAN3) { + // CAN3_EN + GPIOA->ODR &= ~(1 << 0); + #endif + } + + CAN->MCR = CAN_MCR_TTCM | CAN_MCR_INRQ; + while((CAN->MSR & CAN_MSR_INAK) != CAN_MSR_INAK); + + // PCLK = 24000000, 500000 is 48 clocks + // from http://www.bittiming.can-wiki.ino/ + CAN->BTR = 0x001c0002; + + // loopback mode for debugging + #ifdef CAN_LOOPBACK_MODE + CAN->BTR |= CAN_BTR_SILM | CAN_BTR_LBKM; + #endif + + // reset + CAN->MCR = CAN_MCR_TTCM; + + #define CAN_TIMEOUT 1000000 + int tmp = 0; + while((CAN->MSR & CAN_MSR_INAK) == CAN_MSR_INAK && tmp < CAN_TIMEOUT) tmp++; + + if (tmp == CAN_TIMEOUT) { + set_led(LED_BLUE, 1); + puts("CAN init FAILED!!!!!\n"); + } else { + puts("CAN init done\n"); + } + + // accept all filter + CAN->FMR |= CAN_FMR_FINIT; + + // no mask + CAN->sFilterRegister[0].FR1 = 0; + CAN->sFilterRegister[0].FR2 = 0; + CAN->sFilterRegister[14].FR1 = 0; + CAN->sFilterRegister[14].FR2 = 0; + CAN->FA1R |= 1 | (1 << 14); + + CAN->FMR &= ~(CAN_FMR_FINIT); + + // enable all CAN interrupts + CAN->IER = 0xFFFFFFFF; + //CAN->IER = CAN_IER_TMEIE | CAN_IER_FMPIE0 | CAN_IER_FMPIE1; +} + +// CAN error +void can_sce(CAN_TypeDef *CAN) { + #ifdef DEBUG + if (CAN==CAN1) puts("CAN1: "); + if (CAN==CAN2) puts("CAN2: "); + #ifdef CAN3 + if (CAN==CAN3) puts("CAN3: "); + #endif + puts("MSR:"); + puth(CAN->MSR); + puts(" TSR:"); + puth(CAN->TSR); + puts(" RF0R:"); + puth(CAN->RF0R); + puts(" RF1R:"); + puth(CAN->RF1R); + puts(" ESR:"); + puth(CAN->ESR); + puts("\n"); + #endif + + // clear + //CAN->sTxMailBox[0].TIR &= ~(CAN_TI0R_TXRQ); + CAN->TSR |= CAN_TSR_ABRQ0; + //CAN->ESR |= CAN_ESR_LEC; + //CAN->MSR &= ~(CAN_MSR_ERRI); + CAN->MSR = CAN->MSR; +} + +int can_cksum(uint8_t *dat, int len, int addr, int idx) { + int i; + int s = 0; + for (i = 0; i < len; i++) { + s += (dat[i] >> 4); + s += dat[i] & 0xF; + } + s += (addr>>0)&0xF; + s += (addr>>4)&0xF; + s += (addr>>8)&0xF; + s += idx; + s = 8-s; + return s&0xF; +} + diff --git a/board/dac.h b/board/dac.h new file mode 100644 index 0000000..b8833dc --- /dev/null +++ b/board/dac.h @@ -0,0 +1,16 @@ +void dac_init() { + // no buffers required since we have an opamp + //DAC->CR = DAC_CR_EN1 | DAC_CR_BOFF1 | DAC_CR_EN2 | DAC_CR_BOFF2; + DAC->DHR12R1 = 0; + DAC->DHR12R2 = 0; + DAC->CR = DAC_CR_EN1 | DAC_CR_EN2; +} + +void dac_set(int channel, uint32_t value) { + if (channel == 0) { + DAC->DHR12R1 = value; + } else if (channel == 1) { + DAC->DHR12R2 = value; + } +} + diff --git a/board/early.h b/board/early.h new file mode 100644 index 0000000..4fe4d40 --- /dev/null +++ b/board/early.h @@ -0,0 +1,84 @@ +#define ENTER_BOOTLOADER_MAGIC 0xdeadbeef +extern uint32_t enter_bootloader_mode; +extern void *_app_start[]; +void *g_pfnVectors; + +int has_external_debug_serial = 0; +int is_giant_panda = 0; + +// must call again from main because BSS is zeroed +inline void detect() { + // detect has_external_debug_serial + GPIOA->PUPDR |= GPIO_PUPDR_PUPDR3_1; + has_external_debug_serial = (GPIOA->IDR & (1 << 3)) == (1 << 3); + + // detect is_giant_panda + is_giant_panda = 0; +#ifdef PANDA + GPIOB->PUPDR |= GPIO_PUPDR_PUPDR1_1; + is_giant_panda = (GPIOB->IDR & (1 << 1)) == (1 << 1); +#endif +} + +inline void early() { + // if wrong chip, reboot + volatile unsigned int id = DBGMCU->IDCODE; + #ifdef STM32F4 + if ((id&0xFFF) != 0x463) enter_bootloader_mode = ENTER_BOOTLOADER_MAGIC; + #else + if ((id&0xFFF) != 0x411) enter_bootloader_mode = ENTER_BOOTLOADER_MAGIC; + #endif + + // setup interrupt table + SCB->VTOR = (uint32_t)&g_pfnVectors; + + // early GPIOs + RCC->AHB1ENR = RCC_AHB1ENR_GPIOAEN | RCC_AHB1ENR_GPIOBEN | RCC_AHB1ENR_GPIOCEN; + GPIOA->MODER = 0; GPIOB->MODER = 0; GPIOC->MODER = 0; + GPIOA->ODR = 0; GPIOB->ODR = 0; GPIOC->ODR = 0; + GPIOA->PUPDR = 0; GPIOB->PUPDR = 0; GPIOC->PUPDR = 0; + + detect(); + + #ifdef PANDA + // these are outputs to control the ESP + GPIOC->MODER = GPIO_MODER_MODER14_0 | GPIO_MODER_MODER5_0; + + // enable the ESP, disable ESP boot mode + // unless we are on a giant panda, then there's no ESP + if (!is_giant_panda) { + GPIOC->ODR = (1 << 14) | (1 << 5); + } + + // check if the ESP is trying to put me in boot mode + // enable pull up + GPIOB->PUPDR |= GPIO_PUPDR_PUPDR0_0; + // if it's driven low, jump to uart bootloader + if (!(GPIOB->IDR & 1)) { + enter_bootloader_mode = ENTER_BOOTLOADER_MAGIC; + } + #endif + + if (enter_bootloader_mode == ENTER_BOOTLOADER_MAGIC) { + // green LED on + // sadly, on the NEO board the bootloader turns it off + #ifdef PANDA + GPIOC->MODER |= GPIO_MODER_MODER7_0; + GPIOC->ODR &= ~(1 << (6 + 1)); + #else + GPIOB->MODER |= GPIO_MODER_MODER11_0; + GPIOB->ODR &= ~(1 << (10 + 1)); + #endif + + // do enter bootloader + enter_bootloader_mode = 0; + void (*bootloader)(void) = (void (*)(void)) (*((uint32_t *)0x1fff0004)); + + // jump to bootloader + bootloader(); + + // LOOP + while(1); + } +} + diff --git a/board/get_sdk.sh b/board/get_sdk.sh new file mode 100755 index 0000000..9e9ded1 --- /dev/null +++ b/board/get_sdk.sh @@ -0,0 +1,2 @@ +#!/bin/bash +sudo apt-get install gcc-arm-none-eabi diff --git a/board/honda_safety.h b/board/honda_safety.h new file mode 100644 index 0000000..1a29ba7 --- /dev/null +++ b/board/honda_safety.h @@ -0,0 +1,70 @@ +void safety_rx_hook(CAN_FIFOMailBox_TypeDef *to_push) { + // state machine to enter and exit controls + // 0x1A6 for the ILX, 0x296 for the Civic Touring + if ((to_push->RIR>>21) == 0x1A6 || (to_push->RIR>>21) == 0x296) { + int buttons = (to_push->RDLR & 0xE0) >> 5; + if (buttons == 4 || buttons == 3) { + controls_allowed = 1; + } else if (buttons == 2) { + controls_allowed = 0; + } + } + + // exit controls on brake press + if ((to_push->RIR>>21) == 0x17C) { + // bit 50 + if (to_push->RDHR & 0x200000) { + controls_allowed = 0; + } + } + + // exit controls on gas press if interceptor + if ((to_push->RIR>>21) == 0x201) { + gas_interceptor_detected = 1; + int gas = ((to_push->RDLR & 0xFF) << 8) | ((to_push->RDLR & 0xFF00) >> 8); + if (gas > 328) { + controls_allowed = 0; + } + } + + // exit controls on gas press if no interceptor + if (!gas_interceptor_detected) { + if ((to_push->RIR>>21) == 0x17C) { + if (to_push->RDLR & 0xFF) { + controls_allowed = 0; + } + } + } +} + +void safety_tx_hook(CAN_FIFOMailBox_TypeDef *to_send) { + // BRAKE: safety check + if ((to_send->RIR>>21) == 0x1FA) { + if (controls_allowed) { + to_send->RDLR &= 0xFFFFFF3F; + } else { + to_send->RDLR &= 0xFFFF0000; + } + } + + // STEER: safety check + if ((to_send->RIR>>21) == 0xE4) { + if (controls_allowed) { + to_send->RDLR &= 0xFFFFFFFF; + } else { + to_send->RDLR &= 0xFFFF0000; + } + } + + // GAS: safety check + if ((to_send->RIR>>21) == 0x200) { + if (controls_allowed) { + to_send->RDLR &= 0xFFFFFFFF; + } else { + to_send->RDLR &= 0xFFFF0000; + } + } + + // block all other messages? +} + diff --git a/board/inc/cmsis_gcc.h b/board/inc/cmsis_gcc.h new file mode 100644 index 0000000..bb89fbb --- /dev/null +++ b/board/inc/cmsis_gcc.h @@ -0,0 +1,1373 @@ +/**************************************************************************//** + * @file cmsis_gcc.h + * @brief CMSIS Cortex-M Core Function/Instruction Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CMSIS_GCC_H +#define __CMSIS_GCC_H + +/* ignore some GCC warnings */ +#if defined ( __GNUC__ ) +#pragma GCC diagnostic push +#pragma GCC diagnostic ignored "-Wsign-conversion" +#pragma GCC diagnostic ignored "-Wconversion" +#pragma GCC diagnostic ignored "-Wunused-parameter" +#endif + + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ + */ + +/** + \brief Enable IRQ Interrupts + \details Enables IRQ interrupts by clearing the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_irq(void) +{ + __ASM volatile ("cpsie i" : : : "memory"); +} + + +/** + \brief Disable IRQ Interrupts + \details Disables IRQ interrupts by setting the I-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_irq(void) +{ + __ASM volatile ("cpsid i" : : : "memory"); +} + + +/** + \brief Get Control Register + \details Returns the content of the Control Register. + \return Control Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_CONTROL(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, control" : "=r" (result) ); + return(result); +} + + +/** + \brief Set Control Register + \details Writes the given value to the Control Register. + \param [in] control Control Register value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + __ASM volatile ("MSR control, %0" : : "r" (control) : "memory"); +} + + +/** + \brief Get IPSR Register + \details Returns the content of the IPSR Register. + \return IPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_IPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, ipsr" : "=r" (result) ); + return(result); +} + + +/** + \brief Get APSR Register + \details Returns the content of the APSR Register. + \return APSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_APSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, apsr" : "=r" (result) ); + return(result); +} + + +/** + \brief Get xPSR Register + \details Returns the content of the xPSR Register. + + \return xPSR Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_xPSR(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, xpsr" : "=r" (result) ); + return(result); +} + + +/** + \brief Get Process Stack Pointer + \details Returns the current value of the Process Stack Pointer (PSP). + \return PSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, psp\n" : "=r" (result) ); + return(result); +} + + +/** + \brief Set Process Stack Pointer + \details Assigns the given value to the Process Stack Pointer (PSP). + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + __ASM volatile ("MSR psp, %0\n" : : "r" (topOfProcStack) : "sp"); +} + + +/** + \brief Get Main Stack Pointer + \details Returns the current value of the Main Stack Pointer (MSP). + \return MSP Register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t result; + + __ASM volatile ("MRS %0, msp\n" : "=r" (result) ); + return(result); +} + + +/** + \brief Set Main Stack Pointer + \details Assigns the given value to the Main Stack Pointer (MSP). + + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + __ASM volatile ("MSR msp, %0\n" : : "r" (topOfMainStack) : "sp"); +} + + +/** + \brief Get Priority Mask + \details Returns the current state of the priority mask bit from the Priority Mask Register. + \return Priority Mask value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, primask" : "=r" (result) ); + return(result); +} + + +/** + \brief Set Priority Mask + \details Assigns the given value to the Priority Mask Register. + \param [in] priMask Priority Mask + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + __ASM volatile ("MSR primask, %0" : : "r" (priMask) : "memory"); +} + + +#if (__CORTEX_M >= 0x03U) + +/** + \brief Enable FIQ + \details Enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __enable_fault_irq(void) +{ + __ASM volatile ("cpsie f" : : : "memory"); +} + + +/** + \brief Disable FIQ + \details Disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __disable_fault_irq(void) +{ + __ASM volatile ("cpsid f" : : : "memory"); +} + + +/** + \brief Get Base Priority + \details Returns the current value of the Base Priority register. + \return Base Priority register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, basepri" : "=r" (result) ); + return(result); +} + + +/** + \brief Set Base Priority + \details Assigns the given value to the Base Priority register. + \param [in] basePri Base Priority value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_BASEPRI(uint32_t value) +{ + __ASM volatile ("MSR basepri, %0" : : "r" (value) : "memory"); +} + + +/** + \brief Set Base Priority with condition + \details Assigns the given value to the Base Priority register only if BASEPRI masking is disabled, + or the new value increases the BASEPRI priority level. + \param [in] basePri Base Priority value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_BASEPRI_MAX(uint32_t value) +{ + __ASM volatile ("MSR basepri_max, %0" : : "r" (value) : "memory"); +} + + +/** + \brief Get Fault Mask + \details Returns the current value of the Fault Mask register. + \return Fault Mask register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + uint32_t result; + + __ASM volatile ("MRS %0, faultmask" : "=r" (result) ); + return(result); +} + + +/** + \brief Set Fault Mask + \details Assigns the given value to the Fault Mask register. + \param [in] faultMask Fault Mask value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + __ASM volatile ("MSR faultmask, %0" : : "r" (faultMask) : "memory"); +} + +#endif /* (__CORTEX_M >= 0x03U) */ + + +#if (__CORTEX_M == 0x04U) || (__CORTEX_M == 0x07U) + +/** + \brief Get FPSCR + \details Returns the current value of the Floating Point Status/Control register. + \return Floating Point Status/Control register value + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1U) && (__FPU_USED == 1U) + uint32_t result; + + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMRS %0, fpscr" : "=r" (result) ); + __ASM volatile (""); + return(result); +#else + return(0); +#endif +} + + +/** + \brief Set FPSCR + \details Assigns the given value to the Floating Point Status/Control register. + \param [in] fpscr Floating Point Status/Control value to set + */ +__attribute__( ( always_inline ) ) __STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1U) && (__FPU_USED == 1U) + /* Empty asm statement works as a scheduling barrier */ + __ASM volatile (""); + __ASM volatile ("VMSR fpscr, %0" : : "r" (fpscr) : "vfpcc"); + __ASM volatile (""); +#endif +} + +#endif /* (__CORTEX_M == 0x04U) || (__CORTEX_M == 0x07U) */ + + + +/*@} end of CMSIS_Core_RegAccFunctions */ + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +/* Define macros for porting to both thumb1 and thumb2. + * For thumb1, use low register (r0-r7), specified by constraint "l" + * Otherwise, use general registers, specified by constraint "r" */ +#if defined (__thumb__) && !defined (__thumb2__) +#define __CMSIS_GCC_OUT_REG(r) "=l" (r) +#define __CMSIS_GCC_USE_REG(r) "l" (r) +#else +#define __CMSIS_GCC_OUT_REG(r) "=r" (r) +#define __CMSIS_GCC_USE_REG(r) "r" (r) +#endif + +/** + \brief No Operation + \details No Operation does nothing. This instruction can be used for code alignment purposes. + */ +__attribute__((always_inline)) __STATIC_INLINE void __NOP(void) +{ + __ASM volatile ("nop"); +} + + +/** + \brief Wait For Interrupt + \details Wait For Interrupt is a hint instruction that suspends execution until one of a number of events occurs. + */ +__attribute__((always_inline)) __STATIC_INLINE void __WFI(void) +{ + __ASM volatile ("wfi"); +} + + +/** + \brief Wait For Event + \details Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +__attribute__((always_inline)) __STATIC_INLINE void __WFE(void) +{ + __ASM volatile ("wfe"); +} + + +/** + \brief Send Event + \details Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +__attribute__((always_inline)) __STATIC_INLINE void __SEV(void) +{ + __ASM volatile ("sev"); +} + + +/** + \brief Instruction Synchronization Barrier + \details Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or memory, + after the instruction has been completed. + */ +__attribute__((always_inline)) __STATIC_INLINE void __ISB(void) +{ + __ASM volatile ("isb 0xF":::"memory"); +} + + +/** + \brief Data Synchronization Barrier + \details Acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +__attribute__((always_inline)) __STATIC_INLINE void __DSB(void) +{ + __ASM volatile ("dsb 0xF":::"memory"); +} + + +/** + \brief Data Memory Barrier + \details Ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +__attribute__((always_inline)) __STATIC_INLINE void __DMB(void) +{ + __ASM volatile ("dmb 0xF":::"memory"); +} + + +/** + \brief Reverse byte order (32 bit) + \details Reverses the byte order in integer value. + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __REV(uint32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 5) + return __builtin_bswap32(value); +#else + uint32_t result; + + __ASM volatile ("rev %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** + \brief Reverse byte order (16 bit) + \details Reverses the byte order in two unsigned short values. + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __REV16(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rev16 %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +} + + +/** + \brief Reverse byte order in signed short value + \details Reverses the byte order in a signed short value with sign extension to integer. + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__((always_inline)) __STATIC_INLINE int32_t __REVSH(int32_t value) +{ +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + return (short)__builtin_bswap16(value); +#else + int32_t result; + + __ASM volatile ("revsh %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +#endif +} + + +/** + \brief Rotate Right in unsigned value (32 bit) + \details Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __ROR(uint32_t op1, uint32_t op2) +{ + return (op1 >> op2) | (op1 << (32U - op2)); +} + + +/** + \brief Breakpoint + \details Causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __ASM volatile ("bkpt "#value) + + +/** + \brief Reverse bit order of value + \details Reverses the bit order of the given value. + \param [in] value Value to reverse + \return Reversed value + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __RBIT(uint32_t value) +{ + uint32_t result; + +#if (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) + __ASM volatile ("rbit %0, %1" : "=r" (result) : "r" (value) ); +#else + int32_t s = 4 /*sizeof(v)*/ * 8 - 1; /* extra shift needed at end */ + + result = value; /* r will be reversed bits of v; first get LSB of v */ + for (value >>= 1U; value; value >>= 1U) + { + result <<= 1U; + result |= value & 1U; + s--; + } + result <<= s; /* shift when v's highest bits are zero */ +#endif + return(result); +} + + +/** + \brief Count leading zeros + \details Counts the number of leading zeros of a data value. + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +#define __CLZ __builtin_clz + + +#if (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) + +/** + \brief LDR Exclusive (8 bit) + \details Executes a exclusive LDR instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__attribute__((always_inline)) __STATIC_INLINE uint8_t __LDREXB(volatile uint8_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexb %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexb %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint8_t) result); /* Add explicit type cast here */ +} + + +/** + \brief LDR Exclusive (16 bit) + \details Executes a exclusive LDR instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__attribute__((always_inline)) __STATIC_INLINE uint16_t __LDREXH(volatile uint16_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrexh %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrexh %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint16_t) result); /* Add explicit type cast here */ +} + + +/** + \brief LDR Exclusive (32 bit) + \details Executes a exclusive LDR instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __LDREXW(volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("ldrex %0, %1" : "=r" (result) : "Q" (*addr) ); + return(result); +} + + +/** + \brief STR Exclusive (8 bit) + \details Executes a exclusive STR instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __STREXB(uint8_t value, volatile uint8_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexb %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" ((uint32_t)value) ); + return(result); +} + + +/** + \brief STR Exclusive (16 bit) + \details Executes a exclusive STR instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __STREXH(uint16_t value, volatile uint16_t *addr) +{ + uint32_t result; + + __ASM volatile ("strexh %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" ((uint32_t)value) ); + return(result); +} + + +/** + \brief STR Exclusive (32 bit) + \details Executes a exclusive STR instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __STREXW(uint32_t value, volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("strex %0, %2, %1" : "=&r" (result), "=Q" (*addr) : "r" (value) ); + return(result); +} + + +/** + \brief Remove the exclusive lock + \details Removes the exclusive lock which is created by LDREX. + */ +__attribute__((always_inline)) __STATIC_INLINE void __CLREX(void) +{ + __ASM volatile ("clrex" ::: "memory"); +} + + +/** + \brief Signed Saturate + \details Saturates a signed value. + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** + \brief Unsigned Saturate + \details Saturates an unsigned value. + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + + +/** + \brief Rotate Right with Extend (32 bit) + \details Moves each bit of a bitstring right by one bit. + The carry input is shifted in at the left end of the bitstring. + \param [in] value Value to rotate + \return Rotated value + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __RRX(uint32_t value) +{ + uint32_t result; + + __ASM volatile ("rrx %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) ); + return(result); +} + + +/** + \brief LDRT Unprivileged (8 bit) + \details Executes a Unprivileged LDRT instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +__attribute__((always_inline)) __STATIC_INLINE uint8_t __LDRBT(volatile uint8_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrbt %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrbt %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint8_t) result); /* Add explicit type cast here */ +} + + +/** + \brief LDRT Unprivileged (16 bit) + \details Executes a Unprivileged LDRT instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +__attribute__((always_inline)) __STATIC_INLINE uint16_t __LDRHT(volatile uint16_t *addr) +{ + uint32_t result; + +#if (__GNUC__ > 4) || (__GNUC__ == 4 && __GNUC_MINOR__ >= 8) + __ASM volatile ("ldrht %0, %1" : "=r" (result) : "Q" (*addr) ); +#else + /* Prior to GCC 4.8, "Q" will be expanded to [rx, #0] which is not + accepted by assembler. So has to use following less efficient pattern. + */ + __ASM volatile ("ldrht %0, [%1]" : "=r" (result) : "r" (addr) : "memory" ); +#endif + return ((uint16_t) result); /* Add explicit type cast here */ +} + + +/** + \brief LDRT Unprivileged (32 bit) + \details Executes a Unprivileged LDRT instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +__attribute__((always_inline)) __STATIC_INLINE uint32_t __LDRT(volatile uint32_t *addr) +{ + uint32_t result; + + __ASM volatile ("ldrt %0, %1" : "=r" (result) : "Q" (*addr) ); + return(result); +} + + +/** + \brief STRT Unprivileged (8 bit) + \details Executes a Unprivileged STRT instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__attribute__((always_inline)) __STATIC_INLINE void __STRBT(uint8_t value, volatile uint8_t *addr) +{ + __ASM volatile ("strbt %1, %0" : "=Q" (*addr) : "r" ((uint32_t)value) ); +} + + +/** + \brief STRT Unprivileged (16 bit) + \details Executes a Unprivileged STRT instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__attribute__((always_inline)) __STATIC_INLINE void __STRHT(uint16_t value, volatile uint16_t *addr) +{ + __ASM volatile ("strht %1, %0" : "=Q" (*addr) : "r" ((uint32_t)value) ); +} + + +/** + \brief STRT Unprivileged (32 bit) + \details Executes a Unprivileged STRT instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +__attribute__((always_inline)) __STATIC_INLINE void __STRT(uint32_t value, volatile uint32_t *addr) +{ + __ASM volatile ("strt %1, %0" : "=Q" (*addr) : "r" (value) ); +} + +#endif /* (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) */ + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + + +/* ################### Compiler specific Intrinsics ########################### */ +/** \defgroup CMSIS_SIMD_intrinsics CMSIS SIMD Intrinsics + Access to dedicated SIMD instructions + @{ +*/ + +#if (__CORTEX_M >= 0x04U) /* only for Cortex-M4 and above */ + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHADD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSUB8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHADD16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSUB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHASX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("ssax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __QSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("qsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("shsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UQSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uqsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UHSAX(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uhsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USAD8(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("usad8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __USADA8(uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("usada8 %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#define __SSAT16(ARG1,ARG2) \ +({ \ + int32_t __RES, __ARG1 = (ARG1); \ + __ASM ("ssat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +#define __USAT16(ARG1,ARG2) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1); \ + __ASM ("usat16 %0, %1, %2" : "=r" (__RES) : "I" (ARG2), "r" (__ARG1) ); \ + __RES; \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("uxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __UXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("uxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SXTB16(uint32_t op1) +{ + uint32_t result; + + __ASM volatile ("sxtb16 %0, %1" : "=r" (result) : "r" (op1)); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SXTAB16(uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUAD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuad %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUADX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smuadx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLAD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlad %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLADX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smladx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint64_t __SMLALD (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ /* Little endian */ + __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else /* Big endian */ + __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint64_t __SMLALDX (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ /* Little endian */ + __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else /* Big endian */ + __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUSD (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMUSDX (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("smusdx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLSD (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsd %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMLSDX (uint32_t op1, uint32_t op2, uint32_t op3) +{ + uint32_t result; + + __ASM volatile ("smlsdx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint64_t __SMLSLD (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ /* Little endian */ + __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else /* Big endian */ + __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint64_t __SMLSLDX (uint32_t op1, uint32_t op2, uint64_t acc) +{ + union llreg_u{ + uint32_t w32[2]; + uint64_t w64; + } llr; + llr.w64 = acc; + +#ifndef __ARMEB__ /* Little endian */ + __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) ); +#else /* Big endian */ + __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) ); +#endif + + return(llr.w64); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SEL (uint32_t op1, uint32_t op2) +{ + uint32_t result; + + __ASM volatile ("sel %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE int32_t __QADD( int32_t op1, int32_t op2) +{ + int32_t result; + + __ASM volatile ("qadd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +__attribute__( ( always_inline ) ) __STATIC_INLINE int32_t __QSUB( int32_t op1, int32_t op2) +{ + int32_t result; + + __ASM volatile ("qsub %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) ); + return(result); +} + +#define __PKHBT(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + __ASM ("pkhbt %0, %1, %2, lsl %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) + +#define __PKHTB(ARG1,ARG2,ARG3) \ +({ \ + uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \ + if (ARG3 == 0) \ + __ASM ("pkhtb %0, %1, %2" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2) ); \ + else \ + __ASM ("pkhtb %0, %1, %2, asr %3" : "=r" (__RES) : "r" (__ARG1), "r" (__ARG2), "I" (ARG3) ); \ + __RES; \ + }) + +__attribute__( ( always_inline ) ) __STATIC_INLINE uint32_t __SMMLA (int32_t op1, int32_t op2, int32_t op3) +{ + int32_t result; + + __ASM volatile ("smmla %0, %1, %2, %3" : "=r" (result): "r" (op1), "r" (op2), "r" (op3) ); + return(result); +} + +#endif /* (__CORTEX_M >= 0x04) */ +/*@} end of group CMSIS_SIMD_intrinsics */ + + +#if defined ( __GNUC__ ) +#pragma GCC diagnostic pop +#endif + +#endif /* __CMSIS_GCC_H */ diff --git a/board/inc/core_cm3.h b/board/inc/core_cm3.h new file mode 100644 index 0000000..b4ac4c7 --- /dev/null +++ b/board/inc/core_cm3.h @@ -0,0 +1,1763 @@ +/**************************************************************************//** + * @file core_cm3.h + * @brief CMSIS Cortex-M3 Core Peripheral Access Layer Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CM3_H_GENERIC +#define __CORE_CM3_H_GENERIC + +#include + +#ifdef __cplusplus + extern "C" { +#endif + +/** + \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
+ Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
+ Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
+ Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** + \ingroup Cortex_M3 + @{ + */ + +/* CMSIS CM3 definitions */ +#define __CM3_CMSIS_VERSION_MAIN (0x04U) /*!< [31:16] CMSIS HAL main version */ +#define __CM3_CMSIS_VERSION_SUB (0x1EU) /*!< [15:0] CMSIS HAL sub version */ +#define __CM3_CMSIS_VERSION ((__CM3_CMSIS_VERSION_MAIN << 16U) | \ + __CM3_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x03U) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __CSMC__ ) + #define __packed + #define __ASM _asm /*!< asm keyword for COSMIC Compiler */ + #define __INLINE inline /*!< inline keyword for COSMIC Compiler. Use -pc99 on compile line */ + #define __STATIC_INLINE static inline + +#else + #error Unknown compiler +#endif + +/** __FPU_USED indicates whether an FPU is used or not. + This core does not support an FPU at all +*/ +#define __FPU_USED 0U + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #if defined __ARM_PCS_VFP + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI_VFP_SUPPORT__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __CSMC__ ) + #if ( __CSMC__ & 0x400U) + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#endif + +#include "core_cmInstr.h" /* Core Instruction Access */ +#include "core_cmFunc.h" /* Core Function Access */ + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM3_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM3_H_DEPENDANT +#define __CORE_CM3_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM3_REV + #define __CM3_REV 0x0200U + #warning "__CM3_REV not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0U + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 4U + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0U + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/* following defines should be used for structure members */ +#define __IM volatile const /*! Defines 'read only' structure member permissions */ +#define __OM volatile /*! Defines 'write only' structure member permissions */ +#define __IOM volatile /*! Defines 'read / write' structure member permissions */ + +/*@} end of group Cortex_M3 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + ******************************************************************************/ +/** + \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** + \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { + uint32_t _reserved0:27; /*!< bit: 0..26 Reserved */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + +/* APSR Register Definitions */ +#define APSR_N_Pos 31U /*!< APSR: N Position */ +#define APSR_N_Msk (1UL << APSR_N_Pos) /*!< APSR: N Mask */ + +#define APSR_Z_Pos 30U /*!< APSR: Z Position */ +#define APSR_Z_Msk (1UL << APSR_Z_Pos) /*!< APSR: Z Mask */ + +#define APSR_C_Pos 29U /*!< APSR: C Position */ +#define APSR_C_Msk (1UL << APSR_C_Pos) /*!< APSR: C Mask */ + +#define APSR_V_Pos 28U /*!< APSR: V Position */ +#define APSR_V_Msk (1UL << APSR_V_Pos) /*!< APSR: V Mask */ + +#define APSR_Q_Pos 27U /*!< APSR: Q Position */ +#define APSR_Q_Msk (1UL << APSR_Q_Pos) /*!< APSR: Q Mask */ + + +/** + \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + +/* IPSR Register Definitions */ +#define IPSR_ISR_Pos 0U /*!< IPSR: ISR Position */ +#define IPSR_ISR_Msk (0x1FFUL /*<< IPSR_ISR_Pos*/) /*!< IPSR: ISR Mask */ + + +/** + \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + +/* xPSR Register Definitions */ +#define xPSR_N_Pos 31U /*!< xPSR: N Position */ +#define xPSR_N_Msk (1UL << xPSR_N_Pos) /*!< xPSR: N Mask */ + +#define xPSR_Z_Pos 30U /*!< xPSR: Z Position */ +#define xPSR_Z_Msk (1UL << xPSR_Z_Pos) /*!< xPSR: Z Mask */ + +#define xPSR_C_Pos 29U /*!< xPSR: C Position */ +#define xPSR_C_Msk (1UL << xPSR_C_Pos) /*!< xPSR: C Mask */ + +#define xPSR_V_Pos 28U /*!< xPSR: V Position */ +#define xPSR_V_Msk (1UL << xPSR_V_Pos) /*!< xPSR: V Mask */ + +#define xPSR_Q_Pos 27U /*!< xPSR: Q Position */ +#define xPSR_Q_Msk (1UL << xPSR_Q_Pos) /*!< xPSR: Q Mask */ + +#define xPSR_IT_Pos 25U /*!< xPSR: IT Position */ +#define xPSR_IT_Msk (3UL << xPSR_IT_Pos) /*!< xPSR: IT Mask */ + +#define xPSR_T_Pos 24U /*!< xPSR: T Position */ +#define xPSR_T_Msk (1UL << xPSR_T_Pos) /*!< xPSR: T Mask */ + +#define xPSR_ISR_Pos 0U /*!< xPSR: ISR Position */ +#define xPSR_ISR_Msk (0x1FFUL /*<< xPSR_ISR_Pos*/) /*!< xPSR: ISR Mask */ + + +/** + \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t _reserved1:30; /*!< bit: 2..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/* CONTROL Register Definitions */ +#define CONTROL_SPSEL_Pos 1U /*!< CONTROL: SPSEL Position */ +#define CONTROL_SPSEL_Msk (1UL << CONTROL_SPSEL_Pos) /*!< CONTROL: SPSEL Mask */ + +#define CONTROL_nPRIV_Pos 0U /*!< CONTROL: nPRIV Position */ +#define CONTROL_nPRIV_Msk (1UL /*<< CONTROL_nPRIV_Pos*/) /*!< CONTROL: nPRIV Mask */ + +/*@} end of group CMSIS_CORE */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** + \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IOM uint32_t ISER[8U]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24U]; + __IOM uint32_t ICER[8U]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24U]; + __IOM uint32_t ISPR[8U]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24U]; + __IOM uint32_t ICPR[8U]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24U]; + __IOM uint32_t IABR[8U]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56U]; + __IOM uint8_t IP[240U]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644U]; + __OM uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0U /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL /*<< NVIC_STIR_INTID_Pos*/) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** + \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __IM uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IOM uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IOM uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IOM uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IOM uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IOM uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IOM uint8_t SHP[12U]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IOM uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IOM uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IOM uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IOM uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IOM uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IOM uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IOM uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __IM uint32_t PFR[2U]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __IM uint32_t DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __IM uint32_t ADR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __IM uint32_t MMFR[4U]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __IM uint32_t ISAR[5U]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[5U]; + __IOM uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24U /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20U /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16U /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4U /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0U /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL /*<< SCB_CPUID_REVISION_Pos*/) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31U /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28U /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27U /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26U /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25U /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23U /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22U /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12U /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11U /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0U /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL /*<< SCB_ICSR_VECTACTIVE_Pos*/) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#if (__CM3_REV < 0x0201U) /* core r2p1 */ +#define SCB_VTOR_TBLBASE_Pos 29U /*!< SCB VTOR: TBLBASE Position */ +#define SCB_VTOR_TBLBASE_Msk (1UL << SCB_VTOR_TBLBASE_Pos) /*!< SCB VTOR: TBLBASE Mask */ + +#define SCB_VTOR_TBLOFF_Pos 7U /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x3FFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#else +#define SCB_VTOR_TBLOFF_Pos 7U /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#endif + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16U /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16U /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15U /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8U /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2U /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1U /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0U /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL /*<< SCB_AIRCR_VECTRESET_Pos*/) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4U /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2U /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1U /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9U /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8U /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4U /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3U /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1U /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0U /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL /*<< SCB_CCR_NONBASETHRDENA_Pos*/) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18U /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17U /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16U /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15U /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14U /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13U /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12U /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11U /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10U /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8U /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7U /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3U /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1U /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0U /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL /*<< SCB_SHCSR_MEMFAULTACT_Pos*/) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Register Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16U /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8U /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0U /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL /*<< SCB_CFSR_MEMFAULTSR_Pos*/) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Register Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31U /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30U /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1U /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4U /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3U /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2U /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1U /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0U /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL /*<< SCB_DFSR_HALTED_Pos*/) /*!< SCB DFSR: HALTED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** + \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1U]; + __IM uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ +#if ((defined __CM3_REV) && (__CM3_REV >= 0x200U)) + __IOM uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +#else + uint32_t RESERVED1[1U]; +#endif +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0U /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL /*<< SCnSCB_ICTR_INTLINESNUM_Pos*/) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2U /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISDEFWBUF_Pos 1U /*!< ACTLR: DISDEFWBUF Position */ +#define SCnSCB_ACTLR_DISDEFWBUF_Msk (1UL << SCnSCB_ACTLR_DISDEFWBUF_Pos) /*!< ACTLR: DISDEFWBUF Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0U /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL /*<< SCnSCB_ACTLR_DISMCYCINT_Pos*/) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** + \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IOM uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IOM uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IOM uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __IM uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16U /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2U /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1U /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0U /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL /*<< SysTick_CTRL_ENABLE_Pos*/) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0U /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL /*<< SysTick_LOAD_RELOAD_Pos*/) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0U /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL /*<< SysTick_VAL_CURRENT_Pos*/) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31U /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30U /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0U /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL /*<< SysTick_CALIB_TENMS_Pos*/) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** + \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __OM union + { + __OM uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __OM uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __OM uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32U]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864U]; + __IOM uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15U]; + __IOM uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15U]; + __IOM uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29U]; + __OM uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __IM uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IOM uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43U]; + __OM uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __IM uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6U]; + __IM uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __IM uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __IM uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __IM uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __IM uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __IM uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __IM uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __IM uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __IM uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __IM uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __IM uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __IM uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0U /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL /*<< ITM_TPR_PRIVMASK_Pos*/) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23U /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16U /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10U /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8U /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4U /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3U /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2U /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1U /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0U /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL /*<< ITM_TCR_ITMENA_Pos*/) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0U /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL /*<< ITM_IWR_ATVALIDM_Pos*/) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0U /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL /*<< ITM_IRR_ATREADYM_Pos*/) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0U /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL /*<< ITM_IMCR_INTEGRATION_Pos*/) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2U /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1U /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0U /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL /*<< ITM_LSR_Present_Pos*/) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** + \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IOM uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IOM uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IOM uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IOM uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IOM uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IOM uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IOM uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __IM uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IOM uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IOM uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IOM uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1U]; + __IOM uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IOM uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IOM uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1U]; + __IOM uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IOM uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IOM uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1U]; + __IOM uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IOM uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IOM uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28U /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27U /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26U /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25U /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24U /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22U /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21U /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20U /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19U /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18U /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17U /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16U /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12U /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10U /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9U /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5U /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1U /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0U /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL /*<< DWT_CTRL_CYCCNTENA_Pos*/) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0U /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL /*<< DWT_CPICNT_CPICNT_Pos*/) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0U /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL /*<< DWT_EXCCNT_EXCCNT_Pos*/) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0U /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL /*<< DWT_SLEEPCNT_SLEEPCNT_Pos*/) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0U /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL /*<< DWT_LSUCNT_LSUCNT_Pos*/) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0U /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL /*<< DWT_FOLDCNT_FOLDCNT_Pos*/) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0U /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL /*<< DWT_MASK_MASK_Pos*/) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24U /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16U /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12U /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10U /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9U /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8U /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7U /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5U /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0U /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL /*<< DWT_FUNCTION_FUNCTION_Pos*/) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** + \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IOM uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IOM uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2U]; + __IOM uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55U]; + __IOM uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131U]; + __IM uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IOM uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __IM uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759U]; + __IM uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __IM uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __IM uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1U]; + __IM uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __IM uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IOM uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39U]; + __IOM uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IOM uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8U]; + __IM uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __IM uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0U /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL /*<< TPI_ACPR_PRESCALER_Pos*/) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0U /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL /*<< TPI_SPPR_TXMODE_Pos*/) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3U /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2U /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1U /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0U /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL /*<< TPI_FFSR_FlInProg_Pos*/) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8U /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1U /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0U /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL /*<< TPI_TRIGGER_TRIGGER_Pos*/) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29U /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27U /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26U /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24U /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16U /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8U /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0U /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL /*<< TPI_FIFO0_ETM0_Pos*/) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0U /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL /*<< TPI_ITATBCTR2_ATREADY_Pos*/) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29U /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27U /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26U /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24U /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16U /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8U /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0U /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL /*<< TPI_FIFO1_ITM0_Pos*/) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0U /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL /*<< TPI_ITATBCTR0_ATREADY_Pos*/) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0U /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL /*<< TPI_ITCTRL_Mode_Pos*/) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11U /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10U /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9U /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6U /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5U /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0U /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL /*<< TPI_DEVID_NrTraceInput_Pos*/) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_MajorType_Pos 4U /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +#define TPI_DEVTYPE_SubType_Pos 0U /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL /*<< TPI_DEVTYPE_SubType_Pos*/) /*!< TPI DEVTYPE: SubType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1U) +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** + \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __IM uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IOM uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IOM uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IOM uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IOM uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IOM uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IOM uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IOM uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IOM uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IOM uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IOM uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register Definitions */ +#define MPU_TYPE_IREGION_Pos 16U /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8U /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0U /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL /*<< MPU_TYPE_SEPARATE_Pos*/) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register Definitions */ +#define MPU_CTRL_PRIVDEFENA_Pos 2U /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1U /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0U /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL /*<< MPU_CTRL_ENABLE_Pos*/) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register Definitions */ +#define MPU_RNR_REGION_Pos 0U /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL /*<< MPU_RNR_REGION_Pos*/) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register Definitions */ +#define MPU_RBAR_ADDR_Pos 5U /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4U /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0U /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL /*<< MPU_RBAR_REGION_Pos*/) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register Definitions */ +#define MPU_RASR_ATTRS_Pos 16U /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28U /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24U /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19U /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18U /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17U /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16U /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8U /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1U /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0U /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL /*<< MPU_RASR_ENABLE_Pos*/) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** + \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IOM uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __OM uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IOM uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IOM uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register Definitions */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16U /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25U /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24U /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19U /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18U /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17U /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16U /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5U /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3U /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2U /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1U /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0U /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL /*<< CoreDebug_DHCSR_C_DEBUGEN_Pos*/) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register Definitions */ +#define CoreDebug_DCRSR_REGWnR_Pos 16U /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0U /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL /*<< CoreDebug_DCRSR_REGSEL_Pos*/) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register Definitions */ +#define CoreDebug_DEMCR_TRCENA_Pos 24U /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19U /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18U /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17U /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16U /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10U /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9U /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8U /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7U /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6U /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5U /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4U /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0U /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL /*<< CoreDebug_DEMCR_VC_CORERESET_Pos*/) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_bitfield Core register bit field macros + \brief Macros for use with bit field definitions (xxx_Pos, xxx_Msk). + @{ + */ + +/** + \brief Mask and shift a bit field value for use in a register bit range. + \param[in] field Name of the register bit field. + \param[in] value Value of the bit field. + \return Masked and shifted value. +*/ +#define _VAL2FLD(field, value) ((value << field ## _Pos) & field ## _Msk) + +/** + \brief Mask and shift a register value to extract a bit filed value. + \param[in] field Name of the register bit field. + \param[in] value Value of register. + \return Masked and shifted bit field value. +*/ +#define _FLD2VAL(field, value) ((value & field ## _Msk) >> field ## _Pos) + +/*@} end of group CMSIS_core_bitfield */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M3 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1U) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** + \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** + \brief Set Priority Grouping + \details Sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~((uint32_t)(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk)); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FAUL << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8U) ); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** + \brief Get Priority Grouping + \details Reads the priority grouping field from the NVIC Interrupt Controller. + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((uint32_t)((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos)); +} + + +/** + \brief Enable External Interrupt + \details Enables a device-specific interrupt in the NVIC interrupt controller. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Disable External Interrupt + \details Disables a device-specific interrupt in the NVIC interrupt controller. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Get Pending Interrupt + \details Reads the pending register in the NVIC and returns the pending bit for the specified interrupt. + \param [in] IRQn Interrupt number. + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t)(((NVIC->ISPR[(((uint32_t)(int32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL)); +} + + +/** + \brief Set Pending Interrupt + \details Sets the pending bit of an external interrupt. + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Clear Pending Interrupt + \details Clears the pending bit of an external interrupt. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Get Active Interrupt + \details Reads the active register in NVIC and returns the active bit. + \param [in] IRQn Interrupt number. + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)(((NVIC->IABR[(((uint32_t)(int32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL)); +} + + +/** + \brief Set Interrupt Priority + \details Sets the priority of an interrupt. + \note The priority cannot be set for every core interrupt. + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if ((int32_t)(IRQn) < 0) + { + SCB->SHP[(((uint32_t)(int32_t)IRQn) & 0xFUL)-4UL] = (uint8_t)((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL); + } + else + { + NVIC->IP[((uint32_t)(int32_t)IRQn)] = (uint8_t)((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL); + } +} + + +/** + \brief Get Interrupt Priority + \details Reads the priority of an interrupt. + The interrupt number can be positive to specify an external (device specific) interrupt, + or negative to specify an internal (core) interrupt. + \param [in] IRQn Interrupt number. + \return Interrupt Priority. + Value is aligned automatically to the implemented priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if ((int32_t)(IRQn) < 0) + { + return(((uint32_t)SCB->SHP[(((uint32_t)(int32_t)IRQn) & 0xFUL)-4UL] >> (8U - __NVIC_PRIO_BITS))); + } + else + { + return(((uint32_t)NVIC->IP[((uint32_t)(int32_t)IRQn)] >> (8U - __NVIC_PRIO_BITS))); + } +} + + +/** + \brief Encode Priority + \details Encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp); + SubPriorityBits = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS)); + + return ( + ((PreemptPriority & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL)) << SubPriorityBits) | + ((SubPriority & (uint32_t)((1UL << (SubPriorityBits )) - 1UL))) + ); +} + + +/** + \brief Decode Priority + \details Decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set. + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* const pPreemptPriority, uint32_t* const pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp); + SubPriorityBits = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS)); + + *pPreemptPriority = (Priority >> SubPriorityBits) & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL); + *pSubPriority = (Priority ) & (uint32_t)((1UL << (SubPriorityBits )) - 1UL); +} + + +/** + \brief System Reset + \details Initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = (uint32_t)((0x5FAUL << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk ); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + + for(;;) /* wait until reset */ + { + __NOP(); + } +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0U) + +/** + \brief System Tick Configuration + \details Initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + \param [in] ticks Number of ticks between two interrupts. + \return 0 Function succeeded. + \return 1 Function failed. + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1UL) > SysTick_LOAD_RELOAD_Msk) + { + return (1UL); /* Reload value impossible */ + } + + SysTick->LOAD = (uint32_t)(ticks - 1UL); /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1UL << __NVIC_PRIO_BITS) - 1UL); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0UL; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0UL); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5U /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** + \brief ITM Send Character + \details Transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + \param [in] ch Character to transmit. + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if (((ITM->TCR & ITM_TCR_ITMENA_Msk) != 0UL) && /* ITM enabled */ + ((ITM->TER & 1UL ) != 0UL) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0U].u32 == 0UL) + { + __NOP(); + } + ITM->PORT[0U].u8 = (uint8_t)ch; + } + return (ch); +} + + +/** + \brief ITM Receive Character + \details Inputs a character via the external variable \ref ITM_RxBuffer. + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) +{ + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) + { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** + \brief ITM Check Character + \details Checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) +{ + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) + { + return (0); /* no character available */ + } + else + { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM3_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/board/inc/core_cm4.h b/board/inc/core_cm4.h new file mode 100644 index 0000000..dc840eb --- /dev/null +++ b/board/inc/core_cm4.h @@ -0,0 +1,1937 @@ +/**************************************************************************//** + * @file core_cm4.h + * @brief CMSIS Cortex-M4 Core Peripheral Access Layer Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CM4_H_GENERIC +#define __CORE_CM4_H_GENERIC + +#include + +#ifdef __cplusplus + extern "C" { +#endif + +/** + \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
+ Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
+ Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
+ Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** + \ingroup Cortex_M4 + @{ + */ + +/* CMSIS CM4 definitions */ +#define __CM4_CMSIS_VERSION_MAIN (0x04U) /*!< [31:16] CMSIS HAL main version */ +#define __CM4_CMSIS_VERSION_SUB (0x1EU) /*!< [15:0] CMSIS HAL sub version */ +#define __CM4_CMSIS_VERSION ((__CM4_CMSIS_VERSION_MAIN << 16U) | \ + __CM4_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x04U) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __CSMC__ ) + #define __packed + #define __ASM _asm /*!< asm keyword for COSMIC Compiler */ + #define __INLINE inline /*!< inline keyword for COSMIC Compiler. Use -pc99 on compile line */ + #define __STATIC_INLINE static inline + +#else + #error Unknown compiler +#endif + +/** __FPU_USED indicates whether an FPU is used or not. + For this, __FPU_PRESENT has to be checked prior to making use of FPU specific registers and functions. +*/ +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #if (__FPU_PRESENT == 1U) + #define __FPU_USED 1U + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0U + #endif + #else + #define __FPU_USED 0U + #endif + +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #if defined __ARM_PCS_VFP + #if (__FPU_PRESENT == 1) + #define __FPU_USED 1U + #else + #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0U + #endif + #else + #define __FPU_USED 0U + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #if (__FPU_PRESENT == 1U) + #define __FPU_USED 1U + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0U + #endif + #else + #define __FPU_USED 0U + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #if (__FPU_PRESENT == 1U) + #define __FPU_USED 1U + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0U + #endif + #else + #define __FPU_USED 0U + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI_VFP_SUPPORT__ + #if (__FPU_PRESENT == 1U) + #define __FPU_USED 1U + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0U + #endif + #else + #define __FPU_USED 0U + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #if (__FPU_PRESENT == 1U) + #define __FPU_USED 1U + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0U + #endif + #else + #define __FPU_USED 0U + #endif + +#elif defined ( __CSMC__ ) + #if ( __CSMC__ & 0x400U) + #if (__FPU_PRESENT == 1U) + #define __FPU_USED 1U + #else + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #define __FPU_USED 0U + #endif + #else + #define __FPU_USED 0U + #endif + +#endif + +#include "core_cmInstr.h" /* Core Instruction Access */ +#include "core_cmFunc.h" /* Core Function Access */ +#include "core_cmSimd.h" /* Compiler specific SIMD Intrinsics */ + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM4_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM4_H_DEPENDANT +#define __CORE_CM4_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM4_REV + #define __CM4_REV 0x0000U + #warning "__CM4_REV not defined in device header file; using default!" + #endif + + #ifndef __FPU_PRESENT + #define __FPU_PRESENT 0U + #warning "__FPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0U + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 4U + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0U + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/* following defines should be used for structure members */ +#define __IM volatile const /*! Defines 'read only' structure member permissions */ +#define __OM volatile /*! Defines 'write only' structure member permissions */ +#define __IOM volatile /*! Defines 'read / write' structure member permissions */ + +/*@} end of group Cortex_M4 */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core Debug Register + - Core MPU Register + - Core FPU Register + ******************************************************************************/ +/** + \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** + \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { + uint32_t _reserved0:16; /*!< bit: 0..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:7; /*!< bit: 20..26 Reserved */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + +/* APSR Register Definitions */ +#define APSR_N_Pos 31U /*!< APSR: N Position */ +#define APSR_N_Msk (1UL << APSR_N_Pos) /*!< APSR: N Mask */ + +#define APSR_Z_Pos 30U /*!< APSR: Z Position */ +#define APSR_Z_Msk (1UL << APSR_Z_Pos) /*!< APSR: Z Mask */ + +#define APSR_C_Pos 29U /*!< APSR: C Position */ +#define APSR_C_Msk (1UL << APSR_C_Pos) /*!< APSR: C Mask */ + +#define APSR_V_Pos 28U /*!< APSR: V Position */ +#define APSR_V_Msk (1UL << APSR_V_Pos) /*!< APSR: V Mask */ + +#define APSR_Q_Pos 27U /*!< APSR: Q Position */ +#define APSR_Q_Msk (1UL << APSR_Q_Pos) /*!< APSR: Q Mask */ + +#define APSR_GE_Pos 16U /*!< APSR: GE Position */ +#define APSR_GE_Msk (0xFUL << APSR_GE_Pos) /*!< APSR: GE Mask */ + + +/** + \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + +/* IPSR Register Definitions */ +#define IPSR_ISR_Pos 0U /*!< IPSR: ISR Position */ +#define IPSR_ISR_Msk (0x1FFUL /*<< IPSR_ISR_Pos*/) /*!< IPSR: ISR Mask */ + + +/** + \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:7; /*!< bit: 9..15 Reserved */ + uint32_t GE:4; /*!< bit: 16..19 Greater than or Equal flags */ + uint32_t _reserved1:4; /*!< bit: 20..23 Reserved */ + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t IT:2; /*!< bit: 25..26 saved IT state (read 0) */ + uint32_t Q:1; /*!< bit: 27 Saturation condition flag */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + +/* xPSR Register Definitions */ +#define xPSR_N_Pos 31U /*!< xPSR: N Position */ +#define xPSR_N_Msk (1UL << xPSR_N_Pos) /*!< xPSR: N Mask */ + +#define xPSR_Z_Pos 30U /*!< xPSR: Z Position */ +#define xPSR_Z_Msk (1UL << xPSR_Z_Pos) /*!< xPSR: Z Mask */ + +#define xPSR_C_Pos 29U /*!< xPSR: C Position */ +#define xPSR_C_Msk (1UL << xPSR_C_Pos) /*!< xPSR: C Mask */ + +#define xPSR_V_Pos 28U /*!< xPSR: V Position */ +#define xPSR_V_Msk (1UL << xPSR_V_Pos) /*!< xPSR: V Mask */ + +#define xPSR_Q_Pos 27U /*!< xPSR: Q Position */ +#define xPSR_Q_Msk (1UL << xPSR_Q_Pos) /*!< xPSR: Q Mask */ + +#define xPSR_IT_Pos 25U /*!< xPSR: IT Position */ +#define xPSR_IT_Msk (3UL << xPSR_IT_Pos) /*!< xPSR: IT Mask */ + +#define xPSR_T_Pos 24U /*!< xPSR: T Position */ +#define xPSR_T_Msk (1UL << xPSR_T_Pos) /*!< xPSR: T Mask */ + +#define xPSR_GE_Pos 16U /*!< xPSR: GE Position */ +#define xPSR_GE_Msk (0xFUL << xPSR_GE_Pos) /*!< xPSR: GE Mask */ + +#define xPSR_ISR_Pos 0U /*!< xPSR: ISR Position */ +#define xPSR_ISR_Msk (0x1FFUL /*<< xPSR_ISR_Pos*/) /*!< xPSR: ISR Mask */ + + +/** + \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t FPCA:1; /*!< bit: 2 FP extension active flag */ + uint32_t _reserved0:29; /*!< bit: 3..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/* CONTROL Register Definitions */ +#define CONTROL_FPCA_Pos 2U /*!< CONTROL: FPCA Position */ +#define CONTROL_FPCA_Msk (1UL << CONTROL_FPCA_Pos) /*!< CONTROL: FPCA Mask */ + +#define CONTROL_SPSEL_Pos 1U /*!< CONTROL: SPSEL Position */ +#define CONTROL_SPSEL_Msk (1UL << CONTROL_SPSEL_Pos) /*!< CONTROL: SPSEL Mask */ + +#define CONTROL_nPRIV_Pos 0U /*!< CONTROL: nPRIV Position */ +#define CONTROL_nPRIV_Msk (1UL /*<< CONTROL_nPRIV_Pos*/) /*!< CONTROL: nPRIV Mask */ + +/*@} end of group CMSIS_CORE */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** + \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IOM uint32_t ISER[8U]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[24U]; + __IOM uint32_t ICER[8U]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[24U]; + __IOM uint32_t ISPR[8U]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[24U]; + __IOM uint32_t ICPR[8U]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[24U]; + __IOM uint32_t IABR[8U]; /*!< Offset: 0x200 (R/W) Interrupt Active bit Register */ + uint32_t RESERVED4[56U]; + __IOM uint8_t IP[240U]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644U]; + __OM uint32_t STIR; /*!< Offset: 0xE00 ( /W) Software Trigger Interrupt Register */ +} NVIC_Type; + +/* Software Triggered Interrupt Register Definitions */ +#define NVIC_STIR_INTID_Pos 0U /*!< STIR: INTLINESNUM Position */ +#define NVIC_STIR_INTID_Msk (0x1FFUL /*<< NVIC_STIR_INTID_Pos*/) /*!< STIR: INTLINESNUM Mask */ + +/*@} end of group CMSIS_NVIC */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** + \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __IM uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IOM uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ + __IOM uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ + __IOM uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IOM uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IOM uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + __IOM uint8_t SHP[12U]; /*!< Offset: 0x018 (R/W) System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IOM uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ + __IOM uint32_t CFSR; /*!< Offset: 0x028 (R/W) Configurable Fault Status Register */ + __IOM uint32_t HFSR; /*!< Offset: 0x02C (R/W) HardFault Status Register */ + __IOM uint32_t DFSR; /*!< Offset: 0x030 (R/W) Debug Fault Status Register */ + __IOM uint32_t MMFAR; /*!< Offset: 0x034 (R/W) MemManage Fault Address Register */ + __IOM uint32_t BFAR; /*!< Offset: 0x038 (R/W) BusFault Address Register */ + __IOM uint32_t AFSR; /*!< Offset: 0x03C (R/W) Auxiliary Fault Status Register */ + __IM uint32_t PFR[2U]; /*!< Offset: 0x040 (R/ ) Processor Feature Register */ + __IM uint32_t DFR; /*!< Offset: 0x048 (R/ ) Debug Feature Register */ + __IM uint32_t ADR; /*!< Offset: 0x04C (R/ ) Auxiliary Feature Register */ + __IM uint32_t MMFR[4U]; /*!< Offset: 0x050 (R/ ) Memory Model Feature Register */ + __IM uint32_t ISAR[5U]; /*!< Offset: 0x060 (R/ ) Instruction Set Attributes Register */ + uint32_t RESERVED0[5U]; + __IOM uint32_t CPACR; /*!< Offset: 0x088 (R/W) Coprocessor Access Control Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24U /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20U /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16U /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4U /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0U /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL /*<< SCB_CPUID_REVISION_Pos*/) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31U /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28U /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27U /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26U /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25U /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23U /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22U /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12U /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11U /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1UL << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0U /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL /*<< SCB_ICSR_VECTACTIVE_Pos*/) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Vector Table Offset Register Definitions */ +#define SCB_VTOR_TBLOFF_Pos 7U /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16U /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16U /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15U /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8U /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7UL << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2U /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1U /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0U /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1UL /*<< SCB_AIRCR_VECTRESET_Pos*/) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4U /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2U /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1U /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9U /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8U /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1UL << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4U /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1UL << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3U /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1U /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1UL << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0U /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1UL /*<< SCB_CCR_NONBASETHRDENA_Pos*/) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18U /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1UL << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17U /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1UL << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16U /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1UL << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15U /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14U /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13U /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12U /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1UL << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11U /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1UL << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10U /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1UL << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8U /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1UL << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7U /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1UL << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3U /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1UL << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1U /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1UL << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0U /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1UL /*<< SCB_SHCSR_MEMFAULTACT_Pos*/) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Register Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16U /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8U /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0U /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFUL /*<< SCB_CFSR_MEMFAULTSR_Pos*/) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Register Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31U /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1UL << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30U /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1UL << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1U /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1UL << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4U /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1UL << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3U /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1UL << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2U /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1UL << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1U /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1UL << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0U /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1UL /*<< SCB_DFSR_HALTED_Pos*/) /*!< SCB DFSR: HALTED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB) + \brief Type definitions for the System Control and ID Register not in the SCB + @{ + */ + +/** + \brief Structure type to access the System Control and ID Register not in the SCB. + */ +typedef struct +{ + uint32_t RESERVED0[1U]; + __IM uint32_t ICTR; /*!< Offset: 0x004 (R/ ) Interrupt Controller Type Register */ + __IOM uint32_t ACTLR; /*!< Offset: 0x008 (R/W) Auxiliary Control Register */ +} SCnSCB_Type; + +/* Interrupt Controller Type Register Definitions */ +#define SCnSCB_ICTR_INTLINESNUM_Pos 0U /*!< ICTR: INTLINESNUM Position */ +#define SCnSCB_ICTR_INTLINESNUM_Msk (0xFUL /*<< SCnSCB_ICTR_INTLINESNUM_Pos*/) /*!< ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ +#define SCnSCB_ACTLR_DISOOFP_Pos 9U /*!< ACTLR: DISOOFP Position */ +#define SCnSCB_ACTLR_DISOOFP_Msk (1UL << SCnSCB_ACTLR_DISOOFP_Pos) /*!< ACTLR: DISOOFP Mask */ + +#define SCnSCB_ACTLR_DISFPCA_Pos 8U /*!< ACTLR: DISFPCA Position */ +#define SCnSCB_ACTLR_DISFPCA_Msk (1UL << SCnSCB_ACTLR_DISFPCA_Pos) /*!< ACTLR: DISFPCA Mask */ + +#define SCnSCB_ACTLR_DISFOLD_Pos 2U /*!< ACTLR: DISFOLD Position */ +#define SCnSCB_ACTLR_DISFOLD_Msk (1UL << SCnSCB_ACTLR_DISFOLD_Pos) /*!< ACTLR: DISFOLD Mask */ + +#define SCnSCB_ACTLR_DISDEFWBUF_Pos 1U /*!< ACTLR: DISDEFWBUF Position */ +#define SCnSCB_ACTLR_DISDEFWBUF_Msk (1UL << SCnSCB_ACTLR_DISDEFWBUF_Pos) /*!< ACTLR: DISDEFWBUF Mask */ + +#define SCnSCB_ACTLR_DISMCYCINT_Pos 0U /*!< ACTLR: DISMCYCINT Position */ +#define SCnSCB_ACTLR_DISMCYCINT_Msk (1UL /*<< SCnSCB_ACTLR_DISMCYCINT_Pos*/) /*!< ACTLR: DISMCYCINT Mask */ + +/*@} end of group CMSIS_SCnotSCB */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** + \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IOM uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IOM uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IOM uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __IM uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16U /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2U /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1U /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0U /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL /*<< SysTick_CTRL_ENABLE_Pos*/) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0U /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL /*<< SysTick_LOAD_RELOAD_Pos*/) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0U /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL /*<< SysTick_VAL_CURRENT_Pos*/) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31U /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30U /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0U /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL /*<< SysTick_CALIB_TENMS_Pos*/) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_ITM Instrumentation Trace Macrocell (ITM) + \brief Type definitions for the Instrumentation Trace Macrocell (ITM) + @{ + */ + +/** + \brief Structure type to access the Instrumentation Trace Macrocell Register (ITM). + */ +typedef struct +{ + __OM union + { + __OM uint8_t u8; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 8-bit */ + __OM uint16_t u16; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 16-bit */ + __OM uint32_t u32; /*!< Offset: 0x000 ( /W) ITM Stimulus Port 32-bit */ + } PORT [32U]; /*!< Offset: 0x000 ( /W) ITM Stimulus Port Registers */ + uint32_t RESERVED0[864U]; + __IOM uint32_t TER; /*!< Offset: 0xE00 (R/W) ITM Trace Enable Register */ + uint32_t RESERVED1[15U]; + __IOM uint32_t TPR; /*!< Offset: 0xE40 (R/W) ITM Trace Privilege Register */ + uint32_t RESERVED2[15U]; + __IOM uint32_t TCR; /*!< Offset: 0xE80 (R/W) ITM Trace Control Register */ + uint32_t RESERVED3[29U]; + __OM uint32_t IWR; /*!< Offset: 0xEF8 ( /W) ITM Integration Write Register */ + __IM uint32_t IRR; /*!< Offset: 0xEFC (R/ ) ITM Integration Read Register */ + __IOM uint32_t IMCR; /*!< Offset: 0xF00 (R/W) ITM Integration Mode Control Register */ + uint32_t RESERVED4[43U]; + __OM uint32_t LAR; /*!< Offset: 0xFB0 ( /W) ITM Lock Access Register */ + __IM uint32_t LSR; /*!< Offset: 0xFB4 (R/ ) ITM Lock Status Register */ + uint32_t RESERVED5[6U]; + __IM uint32_t PID4; /*!< Offset: 0xFD0 (R/ ) ITM Peripheral Identification Register #4 */ + __IM uint32_t PID5; /*!< Offset: 0xFD4 (R/ ) ITM Peripheral Identification Register #5 */ + __IM uint32_t PID6; /*!< Offset: 0xFD8 (R/ ) ITM Peripheral Identification Register #6 */ + __IM uint32_t PID7; /*!< Offset: 0xFDC (R/ ) ITM Peripheral Identification Register #7 */ + __IM uint32_t PID0; /*!< Offset: 0xFE0 (R/ ) ITM Peripheral Identification Register #0 */ + __IM uint32_t PID1; /*!< Offset: 0xFE4 (R/ ) ITM Peripheral Identification Register #1 */ + __IM uint32_t PID2; /*!< Offset: 0xFE8 (R/ ) ITM Peripheral Identification Register #2 */ + __IM uint32_t PID3; /*!< Offset: 0xFEC (R/ ) ITM Peripheral Identification Register #3 */ + __IM uint32_t CID0; /*!< Offset: 0xFF0 (R/ ) ITM Component Identification Register #0 */ + __IM uint32_t CID1; /*!< Offset: 0xFF4 (R/ ) ITM Component Identification Register #1 */ + __IM uint32_t CID2; /*!< Offset: 0xFF8 (R/ ) ITM Component Identification Register #2 */ + __IM uint32_t CID3; /*!< Offset: 0xFFC (R/ ) ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0U /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFUL /*<< ITM_TPR_PRIVMASK_Pos*/) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23U /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1UL << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_TraceBusID_Pos 16U /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_TraceBusID_Msk (0x7FUL << ITM_TCR_TraceBusID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_GTSFREQ_Pos 10U /*!< ITM TCR: Global timestamp frequency Position */ +#define ITM_TCR_GTSFREQ_Msk (3UL << ITM_TCR_GTSFREQ_Pos) /*!< ITM TCR: Global timestamp frequency Mask */ + +#define ITM_TCR_TSPrescale_Pos 8U /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3UL << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4U /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1UL << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3U /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1UL << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2U /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1UL << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1U /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1UL << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0U /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1UL /*<< ITM_TCR_ITMENA_Pos*/) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0U /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1UL /*<< ITM_IWR_ATVALIDM_Pos*/) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0U /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1UL /*<< ITM_IRR_ATREADYM_Pos*/) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0U /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1UL /*<< ITM_IMCR_INTEGRATION_Pos*/) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2U /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1UL << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1U /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1UL << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0U /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1UL /*<< ITM_LSR_Present_Pos*/) /*!< ITM LSR: Present Mask */ + +/*@}*/ /* end of group CMSIS_ITM */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_DWT Data Watchpoint and Trace (DWT) + \brief Type definitions for the Data Watchpoint and Trace (DWT) + @{ + */ + +/** + \brief Structure type to access the Data Watchpoint and Trace Register (DWT). + */ +typedef struct +{ + __IOM uint32_t CTRL; /*!< Offset: 0x000 (R/W) Control Register */ + __IOM uint32_t CYCCNT; /*!< Offset: 0x004 (R/W) Cycle Count Register */ + __IOM uint32_t CPICNT; /*!< Offset: 0x008 (R/W) CPI Count Register */ + __IOM uint32_t EXCCNT; /*!< Offset: 0x00C (R/W) Exception Overhead Count Register */ + __IOM uint32_t SLEEPCNT; /*!< Offset: 0x010 (R/W) Sleep Count Register */ + __IOM uint32_t LSUCNT; /*!< Offset: 0x014 (R/W) LSU Count Register */ + __IOM uint32_t FOLDCNT; /*!< Offset: 0x018 (R/W) Folded-instruction Count Register */ + __IM uint32_t PCSR; /*!< Offset: 0x01C (R/ ) Program Counter Sample Register */ + __IOM uint32_t COMP0; /*!< Offset: 0x020 (R/W) Comparator Register 0 */ + __IOM uint32_t MASK0; /*!< Offset: 0x024 (R/W) Mask Register 0 */ + __IOM uint32_t FUNCTION0; /*!< Offset: 0x028 (R/W) Function Register 0 */ + uint32_t RESERVED0[1U]; + __IOM uint32_t COMP1; /*!< Offset: 0x030 (R/W) Comparator Register 1 */ + __IOM uint32_t MASK1; /*!< Offset: 0x034 (R/W) Mask Register 1 */ + __IOM uint32_t FUNCTION1; /*!< Offset: 0x038 (R/W) Function Register 1 */ + uint32_t RESERVED1[1U]; + __IOM uint32_t COMP2; /*!< Offset: 0x040 (R/W) Comparator Register 2 */ + __IOM uint32_t MASK2; /*!< Offset: 0x044 (R/W) Mask Register 2 */ + __IOM uint32_t FUNCTION2; /*!< Offset: 0x048 (R/W) Function Register 2 */ + uint32_t RESERVED2[1U]; + __IOM uint32_t COMP3; /*!< Offset: 0x050 (R/W) Comparator Register 3 */ + __IOM uint32_t MASK3; /*!< Offset: 0x054 (R/W) Mask Register 3 */ + __IOM uint32_t FUNCTION3; /*!< Offset: 0x058 (R/W) Function Register 3 */ +} DWT_Type; + +/* DWT Control Register Definitions */ +#define DWT_CTRL_NUMCOMP_Pos 28U /*!< DWT CTRL: NUMCOMP Position */ +#define DWT_CTRL_NUMCOMP_Msk (0xFUL << DWT_CTRL_NUMCOMP_Pos) /*!< DWT CTRL: NUMCOMP Mask */ + +#define DWT_CTRL_NOTRCPKT_Pos 27U /*!< DWT CTRL: NOTRCPKT Position */ +#define DWT_CTRL_NOTRCPKT_Msk (0x1UL << DWT_CTRL_NOTRCPKT_Pos) /*!< DWT CTRL: NOTRCPKT Mask */ + +#define DWT_CTRL_NOEXTTRIG_Pos 26U /*!< DWT CTRL: NOEXTTRIG Position */ +#define DWT_CTRL_NOEXTTRIG_Msk (0x1UL << DWT_CTRL_NOEXTTRIG_Pos) /*!< DWT CTRL: NOEXTTRIG Mask */ + +#define DWT_CTRL_NOCYCCNT_Pos 25U /*!< DWT CTRL: NOCYCCNT Position */ +#define DWT_CTRL_NOCYCCNT_Msk (0x1UL << DWT_CTRL_NOCYCCNT_Pos) /*!< DWT CTRL: NOCYCCNT Mask */ + +#define DWT_CTRL_NOPRFCNT_Pos 24U /*!< DWT CTRL: NOPRFCNT Position */ +#define DWT_CTRL_NOPRFCNT_Msk (0x1UL << DWT_CTRL_NOPRFCNT_Pos) /*!< DWT CTRL: NOPRFCNT Mask */ + +#define DWT_CTRL_CYCEVTENA_Pos 22U /*!< DWT CTRL: CYCEVTENA Position */ +#define DWT_CTRL_CYCEVTENA_Msk (0x1UL << DWT_CTRL_CYCEVTENA_Pos) /*!< DWT CTRL: CYCEVTENA Mask */ + +#define DWT_CTRL_FOLDEVTENA_Pos 21U /*!< DWT CTRL: FOLDEVTENA Position */ +#define DWT_CTRL_FOLDEVTENA_Msk (0x1UL << DWT_CTRL_FOLDEVTENA_Pos) /*!< DWT CTRL: FOLDEVTENA Mask */ + +#define DWT_CTRL_LSUEVTENA_Pos 20U /*!< DWT CTRL: LSUEVTENA Position */ +#define DWT_CTRL_LSUEVTENA_Msk (0x1UL << DWT_CTRL_LSUEVTENA_Pos) /*!< DWT CTRL: LSUEVTENA Mask */ + +#define DWT_CTRL_SLEEPEVTENA_Pos 19U /*!< DWT CTRL: SLEEPEVTENA Position */ +#define DWT_CTRL_SLEEPEVTENA_Msk (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos) /*!< DWT CTRL: SLEEPEVTENA Mask */ + +#define DWT_CTRL_EXCEVTENA_Pos 18U /*!< DWT CTRL: EXCEVTENA Position */ +#define DWT_CTRL_EXCEVTENA_Msk (0x1UL << DWT_CTRL_EXCEVTENA_Pos) /*!< DWT CTRL: EXCEVTENA Mask */ + +#define DWT_CTRL_CPIEVTENA_Pos 17U /*!< DWT CTRL: CPIEVTENA Position */ +#define DWT_CTRL_CPIEVTENA_Msk (0x1UL << DWT_CTRL_CPIEVTENA_Pos) /*!< DWT CTRL: CPIEVTENA Mask */ + +#define DWT_CTRL_EXCTRCENA_Pos 16U /*!< DWT CTRL: EXCTRCENA Position */ +#define DWT_CTRL_EXCTRCENA_Msk (0x1UL << DWT_CTRL_EXCTRCENA_Pos) /*!< DWT CTRL: EXCTRCENA Mask */ + +#define DWT_CTRL_PCSAMPLENA_Pos 12U /*!< DWT CTRL: PCSAMPLENA Position */ +#define DWT_CTRL_PCSAMPLENA_Msk (0x1UL << DWT_CTRL_PCSAMPLENA_Pos) /*!< DWT CTRL: PCSAMPLENA Mask */ + +#define DWT_CTRL_SYNCTAP_Pos 10U /*!< DWT CTRL: SYNCTAP Position */ +#define DWT_CTRL_SYNCTAP_Msk (0x3UL << DWT_CTRL_SYNCTAP_Pos) /*!< DWT CTRL: SYNCTAP Mask */ + +#define DWT_CTRL_CYCTAP_Pos 9U /*!< DWT CTRL: CYCTAP Position */ +#define DWT_CTRL_CYCTAP_Msk (0x1UL << DWT_CTRL_CYCTAP_Pos) /*!< DWT CTRL: CYCTAP Mask */ + +#define DWT_CTRL_POSTINIT_Pos 5U /*!< DWT CTRL: POSTINIT Position */ +#define DWT_CTRL_POSTINIT_Msk (0xFUL << DWT_CTRL_POSTINIT_Pos) /*!< DWT CTRL: POSTINIT Mask */ + +#define DWT_CTRL_POSTPRESET_Pos 1U /*!< DWT CTRL: POSTPRESET Position */ +#define DWT_CTRL_POSTPRESET_Msk (0xFUL << DWT_CTRL_POSTPRESET_Pos) /*!< DWT CTRL: POSTPRESET Mask */ + +#define DWT_CTRL_CYCCNTENA_Pos 0U /*!< DWT CTRL: CYCCNTENA Position */ +#define DWT_CTRL_CYCCNTENA_Msk (0x1UL /*<< DWT_CTRL_CYCCNTENA_Pos*/) /*!< DWT CTRL: CYCCNTENA Mask */ + +/* DWT CPI Count Register Definitions */ +#define DWT_CPICNT_CPICNT_Pos 0U /*!< DWT CPICNT: CPICNT Position */ +#define DWT_CPICNT_CPICNT_Msk (0xFFUL /*<< DWT_CPICNT_CPICNT_Pos*/) /*!< DWT CPICNT: CPICNT Mask */ + +/* DWT Exception Overhead Count Register Definitions */ +#define DWT_EXCCNT_EXCCNT_Pos 0U /*!< DWT EXCCNT: EXCCNT Position */ +#define DWT_EXCCNT_EXCCNT_Msk (0xFFUL /*<< DWT_EXCCNT_EXCCNT_Pos*/) /*!< DWT EXCCNT: EXCCNT Mask */ + +/* DWT Sleep Count Register Definitions */ +#define DWT_SLEEPCNT_SLEEPCNT_Pos 0U /*!< DWT SLEEPCNT: SLEEPCNT Position */ +#define DWT_SLEEPCNT_SLEEPCNT_Msk (0xFFUL /*<< DWT_SLEEPCNT_SLEEPCNT_Pos*/) /*!< DWT SLEEPCNT: SLEEPCNT Mask */ + +/* DWT LSU Count Register Definitions */ +#define DWT_LSUCNT_LSUCNT_Pos 0U /*!< DWT LSUCNT: LSUCNT Position */ +#define DWT_LSUCNT_LSUCNT_Msk (0xFFUL /*<< DWT_LSUCNT_LSUCNT_Pos*/) /*!< DWT LSUCNT: LSUCNT Mask */ + +/* DWT Folded-instruction Count Register Definitions */ +#define DWT_FOLDCNT_FOLDCNT_Pos 0U /*!< DWT FOLDCNT: FOLDCNT Position */ +#define DWT_FOLDCNT_FOLDCNT_Msk (0xFFUL /*<< DWT_FOLDCNT_FOLDCNT_Pos*/) /*!< DWT FOLDCNT: FOLDCNT Mask */ + +/* DWT Comparator Mask Register Definitions */ +#define DWT_MASK_MASK_Pos 0U /*!< DWT MASK: MASK Position */ +#define DWT_MASK_MASK_Msk (0x1FUL /*<< DWT_MASK_MASK_Pos*/) /*!< DWT MASK: MASK Mask */ + +/* DWT Comparator Function Register Definitions */ +#define DWT_FUNCTION_MATCHED_Pos 24U /*!< DWT FUNCTION: MATCHED Position */ +#define DWT_FUNCTION_MATCHED_Msk (0x1UL << DWT_FUNCTION_MATCHED_Pos) /*!< DWT FUNCTION: MATCHED Mask */ + +#define DWT_FUNCTION_DATAVADDR1_Pos 16U /*!< DWT FUNCTION: DATAVADDR1 Position */ +#define DWT_FUNCTION_DATAVADDR1_Msk (0xFUL << DWT_FUNCTION_DATAVADDR1_Pos) /*!< DWT FUNCTION: DATAVADDR1 Mask */ + +#define DWT_FUNCTION_DATAVADDR0_Pos 12U /*!< DWT FUNCTION: DATAVADDR0 Position */ +#define DWT_FUNCTION_DATAVADDR0_Msk (0xFUL << DWT_FUNCTION_DATAVADDR0_Pos) /*!< DWT FUNCTION: DATAVADDR0 Mask */ + +#define DWT_FUNCTION_DATAVSIZE_Pos 10U /*!< DWT FUNCTION: DATAVSIZE Position */ +#define DWT_FUNCTION_DATAVSIZE_Msk (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos) /*!< DWT FUNCTION: DATAVSIZE Mask */ + +#define DWT_FUNCTION_LNK1ENA_Pos 9U /*!< DWT FUNCTION: LNK1ENA Position */ +#define DWT_FUNCTION_LNK1ENA_Msk (0x1UL << DWT_FUNCTION_LNK1ENA_Pos) /*!< DWT FUNCTION: LNK1ENA Mask */ + +#define DWT_FUNCTION_DATAVMATCH_Pos 8U /*!< DWT FUNCTION: DATAVMATCH Position */ +#define DWT_FUNCTION_DATAVMATCH_Msk (0x1UL << DWT_FUNCTION_DATAVMATCH_Pos) /*!< DWT FUNCTION: DATAVMATCH Mask */ + +#define DWT_FUNCTION_CYCMATCH_Pos 7U /*!< DWT FUNCTION: CYCMATCH Position */ +#define DWT_FUNCTION_CYCMATCH_Msk (0x1UL << DWT_FUNCTION_CYCMATCH_Pos) /*!< DWT FUNCTION: CYCMATCH Mask */ + +#define DWT_FUNCTION_EMITRANGE_Pos 5U /*!< DWT FUNCTION: EMITRANGE Position */ +#define DWT_FUNCTION_EMITRANGE_Msk (0x1UL << DWT_FUNCTION_EMITRANGE_Pos) /*!< DWT FUNCTION: EMITRANGE Mask */ + +#define DWT_FUNCTION_FUNCTION_Pos 0U /*!< DWT FUNCTION: FUNCTION Position */ +#define DWT_FUNCTION_FUNCTION_Msk (0xFUL /*<< DWT_FUNCTION_FUNCTION_Pos*/) /*!< DWT FUNCTION: FUNCTION Mask */ + +/*@}*/ /* end of group CMSIS_DWT */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_TPI Trace Port Interface (TPI) + \brief Type definitions for the Trace Port Interface (TPI) + @{ + */ + +/** + \brief Structure type to access the Trace Port Interface Register (TPI). + */ +typedef struct +{ + __IOM uint32_t SSPSR; /*!< Offset: 0x000 (R/ ) Supported Parallel Port Size Register */ + __IOM uint32_t CSPSR; /*!< Offset: 0x004 (R/W) Current Parallel Port Size Register */ + uint32_t RESERVED0[2U]; + __IOM uint32_t ACPR; /*!< Offset: 0x010 (R/W) Asynchronous Clock Prescaler Register */ + uint32_t RESERVED1[55U]; + __IOM uint32_t SPPR; /*!< Offset: 0x0F0 (R/W) Selected Pin Protocol Register */ + uint32_t RESERVED2[131U]; + __IM uint32_t FFSR; /*!< Offset: 0x300 (R/ ) Formatter and Flush Status Register */ + __IOM uint32_t FFCR; /*!< Offset: 0x304 (R/W) Formatter and Flush Control Register */ + __IM uint32_t FSCR; /*!< Offset: 0x308 (R/ ) Formatter Synchronization Counter Register */ + uint32_t RESERVED3[759U]; + __IM uint32_t TRIGGER; /*!< Offset: 0xEE8 (R/ ) TRIGGER */ + __IM uint32_t FIFO0; /*!< Offset: 0xEEC (R/ ) Integration ETM Data */ + __IM uint32_t ITATBCTR2; /*!< Offset: 0xEF0 (R/ ) ITATBCTR2 */ + uint32_t RESERVED4[1U]; + __IM uint32_t ITATBCTR0; /*!< Offset: 0xEF8 (R/ ) ITATBCTR0 */ + __IM uint32_t FIFO1; /*!< Offset: 0xEFC (R/ ) Integration ITM Data */ + __IOM uint32_t ITCTRL; /*!< Offset: 0xF00 (R/W) Integration Mode Control */ + uint32_t RESERVED5[39U]; + __IOM uint32_t CLAIMSET; /*!< Offset: 0xFA0 (R/W) Claim tag set */ + __IOM uint32_t CLAIMCLR; /*!< Offset: 0xFA4 (R/W) Claim tag clear */ + uint32_t RESERVED7[8U]; + __IM uint32_t DEVID; /*!< Offset: 0xFC8 (R/ ) TPIU_DEVID */ + __IM uint32_t DEVTYPE; /*!< Offset: 0xFCC (R/ ) TPIU_DEVTYPE */ +} TPI_Type; + +/* TPI Asynchronous Clock Prescaler Register Definitions */ +#define TPI_ACPR_PRESCALER_Pos 0U /*!< TPI ACPR: PRESCALER Position */ +#define TPI_ACPR_PRESCALER_Msk (0x1FFFUL /*<< TPI_ACPR_PRESCALER_Pos*/) /*!< TPI ACPR: PRESCALER Mask */ + +/* TPI Selected Pin Protocol Register Definitions */ +#define TPI_SPPR_TXMODE_Pos 0U /*!< TPI SPPR: TXMODE Position */ +#define TPI_SPPR_TXMODE_Msk (0x3UL /*<< TPI_SPPR_TXMODE_Pos*/) /*!< TPI SPPR: TXMODE Mask */ + +/* TPI Formatter and Flush Status Register Definitions */ +#define TPI_FFSR_FtNonStop_Pos 3U /*!< TPI FFSR: FtNonStop Position */ +#define TPI_FFSR_FtNonStop_Msk (0x1UL << TPI_FFSR_FtNonStop_Pos) /*!< TPI FFSR: FtNonStop Mask */ + +#define TPI_FFSR_TCPresent_Pos 2U /*!< TPI FFSR: TCPresent Position */ +#define TPI_FFSR_TCPresent_Msk (0x1UL << TPI_FFSR_TCPresent_Pos) /*!< TPI FFSR: TCPresent Mask */ + +#define TPI_FFSR_FtStopped_Pos 1U /*!< TPI FFSR: FtStopped Position */ +#define TPI_FFSR_FtStopped_Msk (0x1UL << TPI_FFSR_FtStopped_Pos) /*!< TPI FFSR: FtStopped Mask */ + +#define TPI_FFSR_FlInProg_Pos 0U /*!< TPI FFSR: FlInProg Position */ +#define TPI_FFSR_FlInProg_Msk (0x1UL /*<< TPI_FFSR_FlInProg_Pos*/) /*!< TPI FFSR: FlInProg Mask */ + +/* TPI Formatter and Flush Control Register Definitions */ +#define TPI_FFCR_TrigIn_Pos 8U /*!< TPI FFCR: TrigIn Position */ +#define TPI_FFCR_TrigIn_Msk (0x1UL << TPI_FFCR_TrigIn_Pos) /*!< TPI FFCR: TrigIn Mask */ + +#define TPI_FFCR_EnFCont_Pos 1U /*!< TPI FFCR: EnFCont Position */ +#define TPI_FFCR_EnFCont_Msk (0x1UL << TPI_FFCR_EnFCont_Pos) /*!< TPI FFCR: EnFCont Mask */ + +/* TPI TRIGGER Register Definitions */ +#define TPI_TRIGGER_TRIGGER_Pos 0U /*!< TPI TRIGGER: TRIGGER Position */ +#define TPI_TRIGGER_TRIGGER_Msk (0x1UL /*<< TPI_TRIGGER_TRIGGER_Pos*/) /*!< TPI TRIGGER: TRIGGER Mask */ + +/* TPI Integration ETM Data Register Definitions (FIFO0) */ +#define TPI_FIFO0_ITM_ATVALID_Pos 29U /*!< TPI FIFO0: ITM_ATVALID Position */ +#define TPI_FIFO0_ITM_ATVALID_Msk (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos) /*!< TPI FIFO0: ITM_ATVALID Mask */ + +#define TPI_FIFO0_ITM_bytecount_Pos 27U /*!< TPI FIFO0: ITM_bytecount Position */ +#define TPI_FIFO0_ITM_bytecount_Msk (0x3UL << TPI_FIFO0_ITM_bytecount_Pos) /*!< TPI FIFO0: ITM_bytecount Mask */ + +#define TPI_FIFO0_ETM_ATVALID_Pos 26U /*!< TPI FIFO0: ETM_ATVALID Position */ +#define TPI_FIFO0_ETM_ATVALID_Msk (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos) /*!< TPI FIFO0: ETM_ATVALID Mask */ + +#define TPI_FIFO0_ETM_bytecount_Pos 24U /*!< TPI FIFO0: ETM_bytecount Position */ +#define TPI_FIFO0_ETM_bytecount_Msk (0x3UL << TPI_FIFO0_ETM_bytecount_Pos) /*!< TPI FIFO0: ETM_bytecount Mask */ + +#define TPI_FIFO0_ETM2_Pos 16U /*!< TPI FIFO0: ETM2 Position */ +#define TPI_FIFO0_ETM2_Msk (0xFFUL << TPI_FIFO0_ETM2_Pos) /*!< TPI FIFO0: ETM2 Mask */ + +#define TPI_FIFO0_ETM1_Pos 8U /*!< TPI FIFO0: ETM1 Position */ +#define TPI_FIFO0_ETM1_Msk (0xFFUL << TPI_FIFO0_ETM1_Pos) /*!< TPI FIFO0: ETM1 Mask */ + +#define TPI_FIFO0_ETM0_Pos 0U /*!< TPI FIFO0: ETM0 Position */ +#define TPI_FIFO0_ETM0_Msk (0xFFUL /*<< TPI_FIFO0_ETM0_Pos*/) /*!< TPI FIFO0: ETM0 Mask */ + +/* TPI ITATBCTR2 Register Definitions */ +#define TPI_ITATBCTR2_ATREADY_Pos 0U /*!< TPI ITATBCTR2: ATREADY Position */ +#define TPI_ITATBCTR2_ATREADY_Msk (0x1UL /*<< TPI_ITATBCTR2_ATREADY_Pos*/) /*!< TPI ITATBCTR2: ATREADY Mask */ + +/* TPI Integration ITM Data Register Definitions (FIFO1) */ +#define TPI_FIFO1_ITM_ATVALID_Pos 29U /*!< TPI FIFO1: ITM_ATVALID Position */ +#define TPI_FIFO1_ITM_ATVALID_Msk (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos) /*!< TPI FIFO1: ITM_ATVALID Mask */ + +#define TPI_FIFO1_ITM_bytecount_Pos 27U /*!< TPI FIFO1: ITM_bytecount Position */ +#define TPI_FIFO1_ITM_bytecount_Msk (0x3UL << TPI_FIFO1_ITM_bytecount_Pos) /*!< TPI FIFO1: ITM_bytecount Mask */ + +#define TPI_FIFO1_ETM_ATVALID_Pos 26U /*!< TPI FIFO1: ETM_ATVALID Position */ +#define TPI_FIFO1_ETM_ATVALID_Msk (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos) /*!< TPI FIFO1: ETM_ATVALID Mask */ + +#define TPI_FIFO1_ETM_bytecount_Pos 24U /*!< TPI FIFO1: ETM_bytecount Position */ +#define TPI_FIFO1_ETM_bytecount_Msk (0x3UL << TPI_FIFO1_ETM_bytecount_Pos) /*!< TPI FIFO1: ETM_bytecount Mask */ + +#define TPI_FIFO1_ITM2_Pos 16U /*!< TPI FIFO1: ITM2 Position */ +#define TPI_FIFO1_ITM2_Msk (0xFFUL << TPI_FIFO1_ITM2_Pos) /*!< TPI FIFO1: ITM2 Mask */ + +#define TPI_FIFO1_ITM1_Pos 8U /*!< TPI FIFO1: ITM1 Position */ +#define TPI_FIFO1_ITM1_Msk (0xFFUL << TPI_FIFO1_ITM1_Pos) /*!< TPI FIFO1: ITM1 Mask */ + +#define TPI_FIFO1_ITM0_Pos 0U /*!< TPI FIFO1: ITM0 Position */ +#define TPI_FIFO1_ITM0_Msk (0xFFUL /*<< TPI_FIFO1_ITM0_Pos*/) /*!< TPI FIFO1: ITM0 Mask */ + +/* TPI ITATBCTR0 Register Definitions */ +#define TPI_ITATBCTR0_ATREADY_Pos 0U /*!< TPI ITATBCTR0: ATREADY Position */ +#define TPI_ITATBCTR0_ATREADY_Msk (0x1UL /*<< TPI_ITATBCTR0_ATREADY_Pos*/) /*!< TPI ITATBCTR0: ATREADY Mask */ + +/* TPI Integration Mode Control Register Definitions */ +#define TPI_ITCTRL_Mode_Pos 0U /*!< TPI ITCTRL: Mode Position */ +#define TPI_ITCTRL_Mode_Msk (0x1UL /*<< TPI_ITCTRL_Mode_Pos*/) /*!< TPI ITCTRL: Mode Mask */ + +/* TPI DEVID Register Definitions */ +#define TPI_DEVID_NRZVALID_Pos 11U /*!< TPI DEVID: NRZVALID Position */ +#define TPI_DEVID_NRZVALID_Msk (0x1UL << TPI_DEVID_NRZVALID_Pos) /*!< TPI DEVID: NRZVALID Mask */ + +#define TPI_DEVID_MANCVALID_Pos 10U /*!< TPI DEVID: MANCVALID Position */ +#define TPI_DEVID_MANCVALID_Msk (0x1UL << TPI_DEVID_MANCVALID_Pos) /*!< TPI DEVID: MANCVALID Mask */ + +#define TPI_DEVID_PTINVALID_Pos 9U /*!< TPI DEVID: PTINVALID Position */ +#define TPI_DEVID_PTINVALID_Msk (0x1UL << TPI_DEVID_PTINVALID_Pos) /*!< TPI DEVID: PTINVALID Mask */ + +#define TPI_DEVID_MinBufSz_Pos 6U /*!< TPI DEVID: MinBufSz Position */ +#define TPI_DEVID_MinBufSz_Msk (0x7UL << TPI_DEVID_MinBufSz_Pos) /*!< TPI DEVID: MinBufSz Mask */ + +#define TPI_DEVID_AsynClkIn_Pos 5U /*!< TPI DEVID: AsynClkIn Position */ +#define TPI_DEVID_AsynClkIn_Msk (0x1UL << TPI_DEVID_AsynClkIn_Pos) /*!< TPI DEVID: AsynClkIn Mask */ + +#define TPI_DEVID_NrTraceInput_Pos 0U /*!< TPI DEVID: NrTraceInput Position */ +#define TPI_DEVID_NrTraceInput_Msk (0x1FUL /*<< TPI_DEVID_NrTraceInput_Pos*/) /*!< TPI DEVID: NrTraceInput Mask */ + +/* TPI DEVTYPE Register Definitions */ +#define TPI_DEVTYPE_MajorType_Pos 4U /*!< TPI DEVTYPE: MajorType Position */ +#define TPI_DEVTYPE_MajorType_Msk (0xFUL << TPI_DEVTYPE_MajorType_Pos) /*!< TPI DEVTYPE: MajorType Mask */ + +#define TPI_DEVTYPE_SubType_Pos 0U /*!< TPI DEVTYPE: SubType Position */ +#define TPI_DEVTYPE_SubType_Msk (0xFUL /*<< TPI_DEVTYPE_SubType_Pos*/) /*!< TPI DEVTYPE: SubType Mask */ + +/*@}*/ /* end of group CMSIS_TPI */ + + +#if (__MPU_PRESENT == 1U) +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** + \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __IM uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IOM uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IOM uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IOM uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IOM uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ + __IOM uint32_t RBAR_A1; /*!< Offset: 0x014 (R/W) MPU Alias 1 Region Base Address Register */ + __IOM uint32_t RASR_A1; /*!< Offset: 0x018 (R/W) MPU Alias 1 Region Attribute and Size Register */ + __IOM uint32_t RBAR_A2; /*!< Offset: 0x01C (R/W) MPU Alias 2 Region Base Address Register */ + __IOM uint32_t RASR_A2; /*!< Offset: 0x020 (R/W) MPU Alias 2 Region Attribute and Size Register */ + __IOM uint32_t RBAR_A3; /*!< Offset: 0x024 (R/W) MPU Alias 3 Region Base Address Register */ + __IOM uint32_t RASR_A3; /*!< Offset: 0x028 (R/W) MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register Definitions */ +#define MPU_TYPE_IREGION_Pos 16U /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8U /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0U /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL /*<< MPU_TYPE_SEPARATE_Pos*/) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register Definitions */ +#define MPU_CTRL_PRIVDEFENA_Pos 2U /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1U /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0U /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL /*<< MPU_CTRL_ENABLE_Pos*/) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register Definitions */ +#define MPU_RNR_REGION_Pos 0U /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL /*<< MPU_RNR_REGION_Pos*/) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register Definitions */ +#define MPU_RBAR_ADDR_Pos 5U /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4U /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0U /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL /*<< MPU_RBAR_REGION_Pos*/) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register Definitions */ +#define MPU_RASR_ATTRS_Pos 16U /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28U /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24U /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19U /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18U /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17U /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16U /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8U /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1U /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0U /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL /*<< MPU_RASR_ENABLE_Pos*/) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +#if (__FPU_PRESENT == 1U) +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_FPU Floating Point Unit (FPU) + \brief Type definitions for the Floating Point Unit (FPU) + @{ + */ + +/** + \brief Structure type to access the Floating Point Unit (FPU). + */ +typedef struct +{ + uint32_t RESERVED0[1U]; + __IOM uint32_t FPCCR; /*!< Offset: 0x004 (R/W) Floating-Point Context Control Register */ + __IOM uint32_t FPCAR; /*!< Offset: 0x008 (R/W) Floating-Point Context Address Register */ + __IOM uint32_t FPDSCR; /*!< Offset: 0x00C (R/W) Floating-Point Default Status Control Register */ + __IM uint32_t MVFR0; /*!< Offset: 0x010 (R/ ) Media and FP Feature Register 0 */ + __IM uint32_t MVFR1; /*!< Offset: 0x014 (R/ ) Media and FP Feature Register 1 */ +} FPU_Type; + +/* Floating-Point Context Control Register Definitions */ +#define FPU_FPCCR_ASPEN_Pos 31U /*!< FPCCR: ASPEN bit Position */ +#define FPU_FPCCR_ASPEN_Msk (1UL << FPU_FPCCR_ASPEN_Pos) /*!< FPCCR: ASPEN bit Mask */ + +#define FPU_FPCCR_LSPEN_Pos 30U /*!< FPCCR: LSPEN Position */ +#define FPU_FPCCR_LSPEN_Msk (1UL << FPU_FPCCR_LSPEN_Pos) /*!< FPCCR: LSPEN bit Mask */ + +#define FPU_FPCCR_MONRDY_Pos 8U /*!< FPCCR: MONRDY Position */ +#define FPU_FPCCR_MONRDY_Msk (1UL << FPU_FPCCR_MONRDY_Pos) /*!< FPCCR: MONRDY bit Mask */ + +#define FPU_FPCCR_BFRDY_Pos 6U /*!< FPCCR: BFRDY Position */ +#define FPU_FPCCR_BFRDY_Msk (1UL << FPU_FPCCR_BFRDY_Pos) /*!< FPCCR: BFRDY bit Mask */ + +#define FPU_FPCCR_MMRDY_Pos 5U /*!< FPCCR: MMRDY Position */ +#define FPU_FPCCR_MMRDY_Msk (1UL << FPU_FPCCR_MMRDY_Pos) /*!< FPCCR: MMRDY bit Mask */ + +#define FPU_FPCCR_HFRDY_Pos 4U /*!< FPCCR: HFRDY Position */ +#define FPU_FPCCR_HFRDY_Msk (1UL << FPU_FPCCR_HFRDY_Pos) /*!< FPCCR: HFRDY bit Mask */ + +#define FPU_FPCCR_THREAD_Pos 3U /*!< FPCCR: processor mode bit Position */ +#define FPU_FPCCR_THREAD_Msk (1UL << FPU_FPCCR_THREAD_Pos) /*!< FPCCR: processor mode active bit Mask */ + +#define FPU_FPCCR_USER_Pos 1U /*!< FPCCR: privilege level bit Position */ +#define FPU_FPCCR_USER_Msk (1UL << FPU_FPCCR_USER_Pos) /*!< FPCCR: privilege level bit Mask */ + +#define FPU_FPCCR_LSPACT_Pos 0U /*!< FPCCR: Lazy state preservation active bit Position */ +#define FPU_FPCCR_LSPACT_Msk (1UL /*<< FPU_FPCCR_LSPACT_Pos*/) /*!< FPCCR: Lazy state preservation active bit Mask */ + +/* Floating-Point Context Address Register Definitions */ +#define FPU_FPCAR_ADDRESS_Pos 3U /*!< FPCAR: ADDRESS bit Position */ +#define FPU_FPCAR_ADDRESS_Msk (0x1FFFFFFFUL << FPU_FPCAR_ADDRESS_Pos) /*!< FPCAR: ADDRESS bit Mask */ + +/* Floating-Point Default Status Control Register Definitions */ +#define FPU_FPDSCR_AHP_Pos 26U /*!< FPDSCR: AHP bit Position */ +#define FPU_FPDSCR_AHP_Msk (1UL << FPU_FPDSCR_AHP_Pos) /*!< FPDSCR: AHP bit Mask */ + +#define FPU_FPDSCR_DN_Pos 25U /*!< FPDSCR: DN bit Position */ +#define FPU_FPDSCR_DN_Msk (1UL << FPU_FPDSCR_DN_Pos) /*!< FPDSCR: DN bit Mask */ + +#define FPU_FPDSCR_FZ_Pos 24U /*!< FPDSCR: FZ bit Position */ +#define FPU_FPDSCR_FZ_Msk (1UL << FPU_FPDSCR_FZ_Pos) /*!< FPDSCR: FZ bit Mask */ + +#define FPU_FPDSCR_RMode_Pos 22U /*!< FPDSCR: RMode bit Position */ +#define FPU_FPDSCR_RMode_Msk (3UL << FPU_FPDSCR_RMode_Pos) /*!< FPDSCR: RMode bit Mask */ + +/* Media and FP Feature Register 0 Definitions */ +#define FPU_MVFR0_FP_rounding_modes_Pos 28U /*!< MVFR0: FP rounding modes bits Position */ +#define FPU_MVFR0_FP_rounding_modes_Msk (0xFUL << FPU_MVFR0_FP_rounding_modes_Pos) /*!< MVFR0: FP rounding modes bits Mask */ + +#define FPU_MVFR0_Short_vectors_Pos 24U /*!< MVFR0: Short vectors bits Position */ +#define FPU_MVFR0_Short_vectors_Msk (0xFUL << FPU_MVFR0_Short_vectors_Pos) /*!< MVFR0: Short vectors bits Mask */ + +#define FPU_MVFR0_Square_root_Pos 20U /*!< MVFR0: Square root bits Position */ +#define FPU_MVFR0_Square_root_Msk (0xFUL << FPU_MVFR0_Square_root_Pos) /*!< MVFR0: Square root bits Mask */ + +#define FPU_MVFR0_Divide_Pos 16U /*!< MVFR0: Divide bits Position */ +#define FPU_MVFR0_Divide_Msk (0xFUL << FPU_MVFR0_Divide_Pos) /*!< MVFR0: Divide bits Mask */ + +#define FPU_MVFR0_FP_excep_trapping_Pos 12U /*!< MVFR0: FP exception trapping bits Position */ +#define FPU_MVFR0_FP_excep_trapping_Msk (0xFUL << FPU_MVFR0_FP_excep_trapping_Pos) /*!< MVFR0: FP exception trapping bits Mask */ + +#define FPU_MVFR0_Double_precision_Pos 8U /*!< MVFR0: Double-precision bits Position */ +#define FPU_MVFR0_Double_precision_Msk (0xFUL << FPU_MVFR0_Double_precision_Pos) /*!< MVFR0: Double-precision bits Mask */ + +#define FPU_MVFR0_Single_precision_Pos 4U /*!< MVFR0: Single-precision bits Position */ +#define FPU_MVFR0_Single_precision_Msk (0xFUL << FPU_MVFR0_Single_precision_Pos) /*!< MVFR0: Single-precision bits Mask */ + +#define FPU_MVFR0_A_SIMD_registers_Pos 0U /*!< MVFR0: A_SIMD registers bits Position */ +#define FPU_MVFR0_A_SIMD_registers_Msk (0xFUL /*<< FPU_MVFR0_A_SIMD_registers_Pos*/) /*!< MVFR0: A_SIMD registers bits Mask */ + +/* Media and FP Feature Register 1 Definitions */ +#define FPU_MVFR1_FP_fused_MAC_Pos 28U /*!< MVFR1: FP fused MAC bits Position */ +#define FPU_MVFR1_FP_fused_MAC_Msk (0xFUL << FPU_MVFR1_FP_fused_MAC_Pos) /*!< MVFR1: FP fused MAC bits Mask */ + +#define FPU_MVFR1_FP_HPFP_Pos 24U /*!< MVFR1: FP HPFP bits Position */ +#define FPU_MVFR1_FP_HPFP_Msk (0xFUL << FPU_MVFR1_FP_HPFP_Pos) /*!< MVFR1: FP HPFP bits Mask */ + +#define FPU_MVFR1_D_NaN_mode_Pos 4U /*!< MVFR1: D_NaN mode bits Position */ +#define FPU_MVFR1_D_NaN_mode_Msk (0xFUL << FPU_MVFR1_D_NaN_mode_Pos) /*!< MVFR1: D_NaN mode bits Mask */ + +#define FPU_MVFR1_FtZ_mode_Pos 0U /*!< MVFR1: FtZ mode bits Position */ +#define FPU_MVFR1_FtZ_mode_Msk (0xFUL /*<< FPU_MVFR1_FtZ_mode_Pos*/) /*!< MVFR1: FtZ mode bits Mask */ + +/*@} end of group CMSIS_FPU */ +#endif + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Type definitions for the Core Debug Registers + @{ + */ + +/** + \brief Structure type to access the Core Debug Register (CoreDebug). + */ +typedef struct +{ + __IOM uint32_t DHCSR; /*!< Offset: 0x000 (R/W) Debug Halting Control and Status Register */ + __OM uint32_t DCRSR; /*!< Offset: 0x004 ( /W) Debug Core Register Selector Register */ + __IOM uint32_t DCRDR; /*!< Offset: 0x008 (R/W) Debug Core Register Data Register */ + __IOM uint32_t DEMCR; /*!< Offset: 0x00C (R/W) Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register Definitions */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16U /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25U /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24U /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19U /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18U /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1UL << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17U /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1UL << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16U /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1UL << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5U /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3U /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2U /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1UL << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1U /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1UL << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0U /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1UL /*<< CoreDebug_DHCSR_C_DEBUGEN_Pos*/) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register Definitions */ +#define CoreDebug_DCRSR_REGWnR_Pos 16U /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1UL << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0U /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1FUL /*<< CoreDebug_DCRSR_REGSEL_Pos*/) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register Definitions */ +#define CoreDebug_DEMCR_TRCENA_Pos 24U /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1UL << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19U /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1UL << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18U /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1UL << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17U /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1UL << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16U /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1UL << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10U /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9U /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1UL << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8U /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7U /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1UL << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6U /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5U /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4U /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1UL << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0U /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1UL /*<< CoreDebug_DEMCR_VC_CORERESET_Pos*/) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ + +/*@} end of group CMSIS_CoreDebug */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_bitfield Core register bit field macros + \brief Macros for use with bit field definitions (xxx_Pos, xxx_Msk). + @{ + */ + +/** + \brief Mask and shift a bit field value for use in a register bit range. + \param[in] field Name of the register bit field. + \param[in] value Value of the bit field. + \return Masked and shifted value. +*/ +#define _VAL2FLD(field, value) ((value << field ## _Pos) & field ## _Msk) + +/** + \brief Mask and shift a register value to extract a bit filed value. + \param[in] field Name of the register bit field. + \param[in] value Value of register. + \return Masked and shifted bit field value. +*/ +#define _FLD2VAL(field, value) ((value & field ## _Msk) >> field ## _Pos) + +/*@} end of group CMSIS_core_bitfield */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M4 Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000UL) /*!< ITM Base Address */ +#define DWT_BASE (0xE0001000UL) /*!< DWT Base Address */ +#define TPI_BASE (0xE0040000UL) /*!< TPI Base Address */ +#define CoreDebug_BASE (0xE000EDF0UL) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCnSCB ((SCnSCB_Type *) SCS_BASE ) /*!< System control Register not in SCB */ +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE ) /*!< ITM configuration struct */ +#define DWT ((DWT_Type *) DWT_BASE ) /*!< DWT configuration struct */ +#define TPI ((TPI_Type *) TPI_BASE ) /*!< TPI configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if (__MPU_PRESENT == 1U) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +#if (__FPU_PRESENT == 1U) + #define FPU_BASE (SCS_BASE + 0x0F30UL) /*!< Floating Point Unit */ + #define FPU ((FPU_Type *) FPU_BASE ) /*!< Floating Point Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Debug Functions + - Core Register Access Functions + ******************************************************************************/ +/** + \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/** + \brief Set Priority Grouping + \details Sets the priority grouping field using the required unlock sequence. + The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field. + Only values from 0..7 are used. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + \param [in] PriorityGroup Priority grouping field. + */ +__STATIC_INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~((uint32_t)(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk)); /* clear bits to change */ + reg_value = (reg_value | + ((uint32_t)0x5FAUL << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8U) ); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + + +/** + \brief Get Priority Grouping + \details Reads the priority grouping field from the NVIC Interrupt Controller. + \return Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field). + */ +__STATIC_INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((uint32_t)((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos)); +} + + +/** + \brief Enable External Interrupt + \details Enables a device-specific interrupt in the NVIC interrupt controller. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Disable External Interrupt + \details Disables a device-specific interrupt in the NVIC interrupt controller. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Get Pending Interrupt + \details Reads the pending register in the NVIC and returns the pending bit for the specified interrupt. + \param [in] IRQn Interrupt number. + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t)(((NVIC->ISPR[(((uint32_t)(int32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL)); +} + + +/** + \brief Set Pending Interrupt + \details Sets the pending bit of an external interrupt. + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Clear Pending Interrupt + \details Clears the pending bit of an external interrupt. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[(((uint32_t)(int32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Get Active Interrupt + \details Reads the active register in NVIC and returns the active bit. + \param [in] IRQn Interrupt number. + \return 0 Interrupt status is not active. + \return 1 Interrupt status is active. + */ +__STATIC_INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)(((NVIC->IABR[(((uint32_t)(int32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL)); +} + + +/** + \brief Set Interrupt Priority + \details Sets the priority of an interrupt. + \note The priority cannot be set for every core interrupt. + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if ((int32_t)(IRQn) < 0) + { + SCB->SHP[(((uint32_t)(int32_t)IRQn) & 0xFUL)-4UL] = (uint8_t)((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL); + } + else + { + NVIC->IP[((uint32_t)(int32_t)IRQn)] = (uint8_t)((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL); + } +} + + +/** + \brief Get Interrupt Priority + \details Reads the priority of an interrupt. + The interrupt number can be positive to specify an external (device specific) interrupt, + or negative to specify an internal (core) interrupt. + \param [in] IRQn Interrupt number. + \return Interrupt Priority. + Value is aligned automatically to the implemented priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if ((int32_t)(IRQn) < 0) + { + return(((uint32_t)SCB->SHP[(((uint32_t)(int32_t)IRQn) & 0xFUL)-4UL] >> (8U - __NVIC_PRIO_BITS))); + } + else + { + return(((uint32_t)NVIC->IP[((uint32_t)(int32_t)IRQn)] >> (8U - __NVIC_PRIO_BITS))); + } +} + + +/** + \brief Encode Priority + \details Encodes the priority for an interrupt with the given priority group, + preemptive priority value, and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set. + \param [in] PriorityGroup Used priority group. + \param [in] PreemptPriority Preemptive priority value (starting from 0). + \param [in] SubPriority Subpriority value (starting from 0). + \return Encoded priority. Value can be used in the function \ref NVIC_SetPriority(). + */ +__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp); + SubPriorityBits = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS)); + + return ( + ((PreemptPriority & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL)) << SubPriorityBits) | + ((SubPriority & (uint32_t)((1UL << (SubPriorityBits )) - 1UL))) + ); +} + + +/** + \brief Decode Priority + \details Decodes an interrupt priority value with a given priority group to + preemptive priority value and subpriority value. + In case of a conflict between priority grouping and available + priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set. + \param [in] Priority Priority value, which can be retrieved with the function \ref NVIC_GetPriority(). + \param [in] PriorityGroup Used priority group. + \param [out] pPreemptPriority Preemptive priority value (starting from 0). + \param [out] pSubPriority Subpriority value (starting from 0). + */ +__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* const pPreemptPriority, uint32_t* const pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp); + SubPriorityBits = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS)); + + *pPreemptPriority = (Priority >> SubPriorityBits) & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL); + *pSubPriority = (Priority ) & (uint32_t)((1UL << (SubPriorityBits )) - 1UL); +} + + +/** + \brief System Reset + \details Initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = (uint32_t)((0x5FAUL << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk ); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + + for(;;) /* wait until reset */ + { + __NOP(); + } +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0U) + +/** + \brief System Tick Configuration + \details Initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + \param [in] ticks Number of ticks between two interrupts. + \return 0 Function succeeded. + \return 1 Function failed. + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1UL) > SysTick_LOAD_RELOAD_Msk) + { + return (1UL); /* Reload value impossible */ + } + + SysTick->LOAD = (uint32_t)(ticks - 1UL); /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1UL << __NVIC_PRIO_BITS) - 1UL); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0UL; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0UL); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + +/* ##################################### Debug In/Output function ########################################### */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_core_DebugFunctions ITM Functions + \brief Functions that access the ITM debug interface. + @{ + */ + +extern volatile int32_t ITM_RxBuffer; /*!< External variable to receive characters. */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5U /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */ + + +/** + \brief ITM Send Character + \details Transmits a character via the ITM channel 0, and + \li Just returns when no debugger is connected that has booked the output. + \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted. + \param [in] ch Character to transmit. + \returns Character to transmit. + */ +__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if (((ITM->TCR & ITM_TCR_ITMENA_Msk) != 0UL) && /* ITM enabled */ + ((ITM->TER & 1UL ) != 0UL) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0U].u32 == 0UL) + { + __NOP(); + } + ITM->PORT[0U].u8 = (uint8_t)ch; + } + return (ch); +} + + +/** + \brief ITM Receive Character + \details Inputs a character via the external variable \ref ITM_RxBuffer. + \return Received character. + \return -1 No character pending. + */ +__STATIC_INLINE int32_t ITM_ReceiveChar (void) +{ + int32_t ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) + { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** + \brief ITM Check Character + \details Checks whether a character is pending for reading in the variable \ref ITM_RxBuffer. + \return 0 No character available. + \return 1 Character available. + */ +__STATIC_INLINE int32_t ITM_CheckChar (void) +{ + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) + { + return (0); /* no character available */ + } + else + { + return (1); /* character available */ + } +} + +/*@} end of CMSIS_core_DebugFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM4_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/board/inc/core_cmFunc.h b/board/inc/core_cmFunc.h new file mode 100644 index 0000000..652a48a --- /dev/null +++ b/board/inc/core_cmFunc.h @@ -0,0 +1,87 @@ +/**************************************************************************//** + * @file core_cmFunc.h + * @brief CMSIS Cortex-M Core Function Access Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CMFUNC_H +#define __CORE_CMFUNC_H + + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ +*/ + +/*------------------ RealView Compiler -----------------*/ +#if defined ( __CC_ARM ) + #include "cmsis_armcc.h" + +/*------------------ ARM Compiler V6 -------------------*/ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #include "cmsis_armcc_V6.h" + +/*------------------ GNU Compiler ----------------------*/ +#elif defined ( __GNUC__ ) + #include "cmsis_gcc.h" + +/*------------------ ICC Compiler ----------------------*/ +#elif defined ( __ICCARM__ ) + #include + +/*------------------ TI CCS Compiler -------------------*/ +#elif defined ( __TMS470__ ) + #include + +/*------------------ TASKING Compiler ------------------*/ +#elif defined ( __TASKING__ ) + /* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +/*------------------ COSMIC Compiler -------------------*/ +#elif defined ( __CSMC__ ) + #include + +#endif + +/*@} end of CMSIS_Core_RegAccFunctions */ + +#endif /* __CORE_CMFUNC_H */ diff --git a/board/inc/core_cmInstr.h b/board/inc/core_cmInstr.h new file mode 100644 index 0000000..f474b0e --- /dev/null +++ b/board/inc/core_cmInstr.h @@ -0,0 +1,87 @@ +/**************************************************************************//** + * @file core_cmInstr.h + * @brief CMSIS Cortex-M Core Instruction Access Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CMINSTR_H +#define __CORE_CMINSTR_H + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +/*------------------ RealView Compiler -----------------*/ +#if defined ( __CC_ARM ) + #include "cmsis_armcc.h" + +/*------------------ ARM Compiler V6 -------------------*/ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #include "cmsis_armcc_V6.h" + +/*------------------ GNU Compiler ----------------------*/ +#elif defined ( __GNUC__ ) + #include "cmsis_gcc.h" + +/*------------------ ICC Compiler ----------------------*/ +#elif defined ( __ICCARM__ ) + #include + +/*------------------ TI CCS Compiler -------------------*/ +#elif defined ( __TMS470__ ) + #include + +/*------------------ TASKING Compiler ------------------*/ +#elif defined ( __TASKING__ ) + /* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +/*------------------ COSMIC Compiler -------------------*/ +#elif defined ( __CSMC__ ) + #include + +#endif + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + +#endif /* __CORE_CMINSTR_H */ diff --git a/board/inc/core_cmSimd.h b/board/inc/core_cmSimd.h new file mode 100644 index 0000000..66bf5c2 --- /dev/null +++ b/board/inc/core_cmSimd.h @@ -0,0 +1,96 @@ +/**************************************************************************//** + * @file core_cmSimd.h + * @brief CMSIS Cortex-M SIMD Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CMSIMD_H +#define __CORE_CMSIMD_H + +#ifdef __cplusplus + extern "C" { +#endif + + +/* ################### Compiler specific Intrinsics ########################### */ +/** \defgroup CMSIS_SIMD_intrinsics CMSIS SIMD Intrinsics + Access to dedicated SIMD instructions + @{ +*/ + +/*------------------ RealView Compiler -----------------*/ +#if defined ( __CC_ARM ) + #include "cmsis_armcc.h" + +/*------------------ ARM Compiler V6 -------------------*/ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #include "cmsis_armcc_V6.h" + +/*------------------ GNU Compiler ----------------------*/ +#elif defined ( __GNUC__ ) + #include "cmsis_gcc.h" + +/*------------------ ICC Compiler ----------------------*/ +#elif defined ( __ICCARM__ ) + #include + +/*------------------ TI CCS Compiler -------------------*/ +#elif defined ( __TMS470__ ) + #include + +/*------------------ TASKING Compiler ------------------*/ +#elif defined ( __TASKING__ ) + /* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +/*------------------ COSMIC Compiler -------------------*/ +#elif defined ( __CSMC__ ) + #include + +#endif + +/*@} end of group CMSIS_SIMD_intrinsics */ + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CMSIMD_H */ diff --git a/board/inc/stm32f205xx.h b/board/inc/stm32f205xx.h new file mode 100644 index 0000000..ba825d1 --- /dev/null +++ b/board/inc/stm32f205xx.h @@ -0,0 +1,7666 @@ +/** + ****************************************************************************** + * @file stm32f205xx.h + * @author MCD Application Team + * @version V2.1.2 + * @date 29-June-2016 + * @brief CMSIS STM32F205xx Device Peripheral Access Layer Header File. + * This file contains : + * - Data structures and the address mapping for all peripherals + * - Peripherals registers declarations and bits definition + * - Macros to access peripheral’s registers hardware + * + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32f205xx + * @{ + */ + +#ifndef __STM32F205xx_H +#define __STM32F205xx_H + +#ifdef __cplusplus + extern "C" { +#endif /* __cplusplus */ + + +/** @addtogroup Configuration_section_for_CMSIS + * @{ + */ + +/** + * @brief Configuration of the Cortex-M3 Processor and Core Peripherals + */ +#define __CM3_REV 0x0200U /*!< Core revision r0p1 */ +#define __MPU_PRESENT 1U /*!< STM32F2XX provides an MPU */ +#define __NVIC_PRIO_BITS 4U /*!< STM32F2XX uses 4 Bits for the Priority Levels */ +#define __Vendor_SysTickConfig 0U /*!< Set to 1 if different SysTick Config is used */ + +/** + * @} + */ + +/** @addtogroup Peripheral_interrupt_number_definition + * @{ + */ + +/** + * @brief STM32F2XX Interrupt Number Definition, according to the selected device + * in @ref Library_configuration_section + */ +typedef enum +{ +/****** Cortex-M3 Processor Exceptions Numbers ****************************************************************/ + NonMaskableInt_IRQn = -14, /*!< 2 Non Maskable Interrupt */ + MemoryManagement_IRQn = -12, /*!< 4 Cortex-M3 Memory Management Interrupt */ + BusFault_IRQn = -11, /*!< 5 Cortex-M3 Bus Fault Interrupt */ + UsageFault_IRQn = -10, /*!< 6 Cortex-M3 Usage Fault Interrupt */ + SVCall_IRQn = -5, /*!< 11 Cortex-M3 SV Call Interrupt */ + DebugMonitor_IRQn = -4, /*!< 12 Cortex-M3 Debug Monitor Interrupt */ + PendSV_IRQn = -2, /*!< 14 Cortex-M3 Pend SV Interrupt */ + SysTick_IRQn = -1, /*!< 15 Cortex-M3 System Tick Interrupt */ +/****** STM32 specific Interrupt Numbers **********************************************************************/ + WWDG_IRQn = 0, /*!< Window WatchDog Interrupt */ + PVD_IRQn = 1, /*!< PVD through EXTI Line detection Interrupt */ + TAMP_STAMP_IRQn = 2, /*!< Tamper and TimeStamp interrupts through the EXTI line */ + RTC_WKUP_IRQn = 3, /*!< RTC Wakeup interrupt through the EXTI line */ + FLASH_IRQn = 4, /*!< FLASH global Interrupt */ + RCC_IRQn = 5, /*!< RCC global Interrupt */ + EXTI0_IRQn = 6, /*!< EXTI Line0 Interrupt */ + EXTI1_IRQn = 7, /*!< EXTI Line1 Interrupt */ + EXTI2_IRQn = 8, /*!< EXTI Line2 Interrupt */ + EXTI3_IRQn = 9, /*!< EXTI Line3 Interrupt */ + EXTI4_IRQn = 10, /*!< EXTI Line4 Interrupt */ + DMA1_Stream0_IRQn = 11, /*!< DMA1 Stream 0 global Interrupt */ + DMA1_Stream1_IRQn = 12, /*!< DMA1 Stream 1 global Interrupt */ + DMA1_Stream2_IRQn = 13, /*!< DMA1 Stream 2 global Interrupt */ + DMA1_Stream3_IRQn = 14, /*!< DMA1 Stream 3 global Interrupt */ + DMA1_Stream4_IRQn = 15, /*!< DMA1 Stream 4 global Interrupt */ + DMA1_Stream5_IRQn = 16, /*!< DMA1 Stream 5 global Interrupt */ + DMA1_Stream6_IRQn = 17, /*!< DMA1 Stream 6 global Interrupt */ + ADC_IRQn = 18, /*!< ADC1, ADC2 and ADC3 global Interrupts */ + CAN1_TX_IRQn = 19, /*!< CAN1 TX Interrupt */ + CAN1_RX0_IRQn = 20, /*!< CAN1 RX0 Interrupt */ + CAN1_RX1_IRQn = 21, /*!< CAN1 RX1 Interrupt */ + CAN1_SCE_IRQn = 22, /*!< CAN1 SCE Interrupt */ + EXTI9_5_IRQn = 23, /*!< External Line[9:5] Interrupts */ + TIM1_BRK_TIM9_IRQn = 24, /*!< TIM1 Break interrupt and TIM9 global interrupt */ + TIM1_UP_TIM10_IRQn = 25, /*!< TIM1 Update Interrupt and TIM10 global interrupt */ + TIM1_TRG_COM_TIM11_IRQn = 26, /*!< TIM1 Trigger and Commutation Interrupt and TIM11 global interrupt */ + TIM1_CC_IRQn = 27, /*!< TIM1 Capture Compare Interrupt */ + TIM2_IRQn = 28, /*!< TIM2 global Interrupt */ + TIM3_IRQn = 29, /*!< TIM3 global Interrupt */ + TIM4_IRQn = 30, /*!< TIM4 global Interrupt */ + I2C1_EV_IRQn = 31, /*!< I2C1 Event Interrupt */ + I2C1_ER_IRQn = 32, /*!< I2C1 Error Interrupt */ + I2C2_EV_IRQn = 33, /*!< I2C2 Event Interrupt */ + I2C2_ER_IRQn = 34, /*!< I2C2 Error Interrupt */ + SPI1_IRQn = 35, /*!< SPI1 global Interrupt */ + SPI2_IRQn = 36, /*!< SPI2 global Interrupt */ + USART1_IRQn = 37, /*!< USART1 global Interrupt */ + USART2_IRQn = 38, /*!< USART2 global Interrupt */ + USART3_IRQn = 39, /*!< USART3 global Interrupt */ + EXTI15_10_IRQn = 40, /*!< External Line[15:10] Interrupts */ + RTC_Alarm_IRQn = 41, /*!< RTC Alarm (A and B) through EXTI Line Interrupt */ + OTG_FS_WKUP_IRQn = 42, /*!< USB OTG FS Wakeup through EXTI line interrupt */ + TIM8_BRK_TIM12_IRQn = 43, /*!< TIM8 Break Interrupt and TIM12 global interrupt */ + TIM8_UP_TIM13_IRQn = 44, /*!< TIM8 Update Interrupt and TIM13 global interrupt */ + TIM8_TRG_COM_TIM14_IRQn = 45, /*!< TIM8 Trigger and Commutation Interrupt and TIM14 global interrupt */ + TIM8_CC_IRQn = 46, /*!< TIM8 Capture Compare Interrupt */ + DMA1_Stream7_IRQn = 47, /*!< DMA1 Stream7 Interrupt */ + FSMC_IRQn = 48, /*!< FSMC global Interrupt */ + SDIO_IRQn = 49, /*!< SDIO global Interrupt */ + TIM5_IRQn = 50, /*!< TIM5 global Interrupt */ + SPI3_IRQn = 51, /*!< SPI3 global Interrupt */ + UART4_IRQn = 52, /*!< UART4 global Interrupt */ + UART5_IRQn = 53, /*!< UART5 global Interrupt */ + TIM6_DAC_IRQn = 54, /*!< TIM6 global and DAC1&2 underrun error interrupts */ + TIM7_IRQn = 55, /*!< TIM7 global interrupt */ + DMA2_Stream0_IRQn = 56, /*!< DMA2 Stream 0 global Interrupt */ + DMA2_Stream1_IRQn = 57, /*!< DMA2 Stream 1 global Interrupt */ + DMA2_Stream2_IRQn = 58, /*!< DMA2 Stream 2 global Interrupt */ + DMA2_Stream3_IRQn = 59, /*!< DMA2 Stream 3 global Interrupt */ + DMA2_Stream4_IRQn = 60, /*!< DMA2 Stream 4 global Interrupt */ + CAN2_TX_IRQn = 63, /*!< CAN2 TX Interrupt */ + CAN2_RX0_IRQn = 64, /*!< CAN2 RX0 Interrupt */ + CAN2_RX1_IRQn = 65, /*!< CAN2 RX1 Interrupt */ + CAN2_SCE_IRQn = 66, /*!< CAN2 SCE Interrupt */ + OTG_FS_IRQn = 67, /*!< USB OTG FS global Interrupt */ + DMA2_Stream5_IRQn = 68, /*!< DMA2 Stream 5 global interrupt */ + DMA2_Stream6_IRQn = 69, /*!< DMA2 Stream 6 global interrupt */ + DMA2_Stream7_IRQn = 70, /*!< DMA2 Stream 7 global interrupt */ + USART6_IRQn = 71, /*!< USART6 global interrupt */ + I2C3_EV_IRQn = 72, /*!< I2C3 event interrupt */ + I2C3_ER_IRQn = 73, /*!< I2C3 error interrupt */ + OTG_HS_EP1_OUT_IRQn = 74, /*!< USB OTG HS End Point 1 Out global interrupt */ + OTG_HS_EP1_IN_IRQn = 75, /*!< USB OTG HS End Point 1 In global interrupt */ + OTG_HS_WKUP_IRQn = 76, /*!< USB OTG HS Wakeup through EXTI interrupt */ + OTG_HS_IRQn = 77, /*!< USB OTG HS global interrupt */ + HASH_RNG_IRQn = 80 /*!< Hash and RNG global interrupt */ +} IRQn_Type; + +/** + * @} + */ + +#include "core_cm3.h" +#include "system_stm32f2xx.h" +#include + +/** @addtogroup Peripheral_registers_structures + * @{ + */ + +/** + * @brief Analog to Digital Converter + */ + +typedef struct +{ + __IO uint32_t SR; /*!< ADC status register, Address offset: 0x00 */ + __IO uint32_t CR1; /*!< ADC control register 1, Address offset: 0x04 */ + __IO uint32_t CR2; /*!< ADC control register 2, Address offset: 0x08 */ + __IO uint32_t SMPR1; /*!< ADC sample time register 1, Address offset: 0x0C */ + __IO uint32_t SMPR2; /*!< ADC sample time register 2, Address offset: 0x10 */ + __IO uint32_t JOFR1; /*!< ADC injected channel data offset register 1, Address offset: 0x14 */ + __IO uint32_t JOFR2; /*!< ADC injected channel data offset register 2, Address offset: 0x18 */ + __IO uint32_t JOFR3; /*!< ADC injected channel data offset register 3, Address offset: 0x1C */ + __IO uint32_t JOFR4; /*!< ADC injected channel data offset register 4, Address offset: 0x20 */ + __IO uint32_t HTR; /*!< ADC watchdog higher threshold register, Address offset: 0x24 */ + __IO uint32_t LTR; /*!< ADC watchdog lower threshold register, Address offset: 0x28 */ + __IO uint32_t SQR1; /*!< ADC regular sequence register 1, Address offset: 0x2C */ + __IO uint32_t SQR2; /*!< ADC regular sequence register 2, Address offset: 0x30 */ + __IO uint32_t SQR3; /*!< ADC regular sequence register 3, Address offset: 0x34 */ + __IO uint32_t JSQR; /*!< ADC injected sequence register, Address offset: 0x38*/ + __IO uint32_t JDR1; /*!< ADC injected data register 1, Address offset: 0x3C */ + __IO uint32_t JDR2; /*!< ADC injected data register 2, Address offset: 0x40 */ + __IO uint32_t JDR3; /*!< ADC injected data register 3, Address offset: 0x44 */ + __IO uint32_t JDR4; /*!< ADC injected data register 4, Address offset: 0x48 */ + __IO uint32_t DR; /*!< ADC regular data register, Address offset: 0x4C */ +} ADC_TypeDef; + +typedef struct +{ + __IO uint32_t CSR; /*!< ADC Common status register, Address offset: ADC1 base address + 0x300 */ + __IO uint32_t CCR; /*!< ADC common control register, Address offset: ADC1 base address + 0x304 */ + __IO uint32_t CDR; /*!< ADC common regular data register for dual + AND triple modes, Address offset: ADC1 base address + 0x308 */ +} ADC_Common_TypeDef; + + +/** + * @brief Controller Area Network TxMailBox + */ + +typedef struct +{ + __IO uint32_t TIR; /*!< CAN TX mailbox identifier register */ + __IO uint32_t TDTR; /*!< CAN mailbox data length control and time stamp register */ + __IO uint32_t TDLR; /*!< CAN mailbox data low register */ + __IO uint32_t TDHR; /*!< CAN mailbox data high register */ +} CAN_TxMailBox_TypeDef; + +/** + * @brief Controller Area Network FIFOMailBox + */ + +typedef struct +{ + __IO uint32_t RIR; /*!< CAN receive FIFO mailbox identifier register */ + __IO uint32_t RDTR; /*!< CAN receive FIFO mailbox data length control and time stamp register */ + __IO uint32_t RDLR; /*!< CAN receive FIFO mailbox data low register */ + __IO uint32_t RDHR; /*!< CAN receive FIFO mailbox data high register */ +} CAN_FIFOMailBox_TypeDef; + +/** + * @brief Controller Area Network FilterRegister + */ + +typedef struct +{ + __IO uint32_t FR1; /*!< CAN Filter bank register 1 */ + __IO uint32_t FR2; /*!< CAN Filter bank register 1 */ +} CAN_FilterRegister_TypeDef; + +/** + * @brief Controller Area Network + */ + +typedef struct +{ + __IO uint32_t MCR; /*!< CAN master control register, Address offset: 0x00 */ + __IO uint32_t MSR; /*!< CAN master status register, Address offset: 0x04 */ + __IO uint32_t TSR; /*!< CAN transmit status register, Address offset: 0x08 */ + __IO uint32_t RF0R; /*!< CAN receive FIFO 0 register, Address offset: 0x0C */ + __IO uint32_t RF1R; /*!< CAN receive FIFO 1 register, Address offset: 0x10 */ + __IO uint32_t IER; /*!< CAN interrupt enable register, Address offset: 0x14 */ + __IO uint32_t ESR; /*!< CAN error status register, Address offset: 0x18 */ + __IO uint32_t BTR; /*!< CAN bit timing register, Address offset: 0x1C */ + uint32_t RESERVED0[88]; /*!< Reserved, 0x020 - 0x17F */ + CAN_TxMailBox_TypeDef sTxMailBox[3]; /*!< CAN Tx MailBox, Address offset: 0x180 - 0x1AC */ + CAN_FIFOMailBox_TypeDef sFIFOMailBox[2]; /*!< CAN FIFO MailBox, Address offset: 0x1B0 - 0x1CC */ + uint32_t RESERVED1[12]; /*!< Reserved, 0x1D0 - 0x1FF */ + __IO uint32_t FMR; /*!< CAN filter master register, Address offset: 0x200 */ + __IO uint32_t FM1R; /*!< CAN filter mode register, Address offset: 0x204 */ + uint32_t RESERVED2; /*!< Reserved, 0x208 */ + __IO uint32_t FS1R; /*!< CAN filter scale register, Address offset: 0x20C */ + uint32_t RESERVED3; /*!< Reserved, 0x210 */ + __IO uint32_t FFA1R; /*!< CAN filter FIFO assignment register, Address offset: 0x214 */ + uint32_t RESERVED4; /*!< Reserved, 0x218 */ + __IO uint32_t FA1R; /*!< CAN filter activation register, Address offset: 0x21C */ + uint32_t RESERVED5[8]; /*!< Reserved, 0x220-0x23F */ + CAN_FilterRegister_TypeDef sFilterRegister[28]; /*!< CAN Filter Register, Address offset: 0x240-0x31C */ +} CAN_TypeDef; + +/** + * @brief CRC calculation unit + */ + +typedef struct +{ + __IO uint32_t DR; /*!< CRC Data register, Address offset: 0x00 */ + __IO uint8_t IDR; /*!< CRC Independent data register, Address offset: 0x04 */ + uint8_t RESERVED0; /*!< Reserved, 0x05 */ + uint16_t RESERVED1; /*!< Reserved, 0x06 */ + __IO uint32_t CR; /*!< CRC Control register, Address offset: 0x08 */ +} CRC_TypeDef; + +/** + * @brief Digital to Analog Converter + */ + +typedef struct +{ + __IO uint32_t CR; /*!< DAC control register, Address offset: 0x00 */ + __IO uint32_t SWTRIGR; /*!< DAC software trigger register, Address offset: 0x04 */ + __IO uint32_t DHR12R1; /*!< DAC channel1 12-bit right-aligned data holding register, Address offset: 0x08 */ + __IO uint32_t DHR12L1; /*!< DAC channel1 12-bit left aligned data holding register, Address offset: 0x0C */ + __IO uint32_t DHR8R1; /*!< DAC channel1 8-bit right aligned data holding register, Address offset: 0x10 */ + __IO uint32_t DHR12R2; /*!< DAC channel2 12-bit right aligned data holding register, Address offset: 0x14 */ + __IO uint32_t DHR12L2; /*!< DAC channel2 12-bit left aligned data holding register, Address offset: 0x18 */ + __IO uint32_t DHR8R2; /*!< DAC channel2 8-bit right-aligned data holding register, Address offset: 0x1C */ + __IO uint32_t DHR12RD; /*!< Dual DAC 12-bit right-aligned data holding register, Address offset: 0x20 */ + __IO uint32_t DHR12LD; /*!< DUAL DAC 12-bit left aligned data holding register, Address offset: 0x24 */ + __IO uint32_t DHR8RD; /*!< DUAL DAC 8-bit right aligned data holding register, Address offset: 0x28 */ + __IO uint32_t DOR1; /*!< DAC channel1 data output register, Address offset: 0x2C */ + __IO uint32_t DOR2; /*!< DAC channel2 data output register, Address offset: 0x30 */ + __IO uint32_t SR; /*!< DAC status register, Address offset: 0x34 */ +} DAC_TypeDef; + +/** + * @brief Debug MCU + */ + +typedef struct +{ + __IO uint32_t IDCODE; /*!< MCU device ID code, Address offset: 0x00 */ + __IO uint32_t CR; /*!< Debug MCU configuration register, Address offset: 0x04 */ + __IO uint32_t APB1FZ; /*!< Debug MCU APB1 freeze register, Address offset: 0x08 */ + __IO uint32_t APB2FZ; /*!< Debug MCU APB2 freeze register, Address offset: 0x0C */ +}DBGMCU_TypeDef; + + +/** + * @brief DMA Controller + */ + +typedef struct +{ + __IO uint32_t CR; /*!< DMA stream x configuration register */ + __IO uint32_t NDTR; /*!< DMA stream x number of data register */ + __IO uint32_t PAR; /*!< DMA stream x peripheral address register */ + __IO uint32_t M0AR; /*!< DMA stream x memory 0 address register */ + __IO uint32_t M1AR; /*!< DMA stream x memory 1 address register */ + __IO uint32_t FCR; /*!< DMA stream x FIFO control register */ +} DMA_Stream_TypeDef; + +typedef struct +{ + __IO uint32_t LISR; /*!< DMA low interrupt status register, Address offset: 0x00 */ + __IO uint32_t HISR; /*!< DMA high interrupt status register, Address offset: 0x04 */ + __IO uint32_t LIFCR; /*!< DMA low interrupt flag clear register, Address offset: 0x08 */ + __IO uint32_t HIFCR; /*!< DMA high interrupt flag clear register, Address offset: 0x0C */ +} DMA_TypeDef; + + +/** + * @brief External Interrupt/Event Controller + */ + +typedef struct +{ + __IO uint32_t IMR; /*!< EXTI Interrupt mask register, Address offset: 0x00 */ + __IO uint32_t EMR; /*!< EXTI Event mask register, Address offset: 0x04 */ + __IO uint32_t RTSR; /*!< EXTI Rising trigger selection register, Address offset: 0x08 */ + __IO uint32_t FTSR; /*!< EXTI Falling trigger selection register, Address offset: 0x0C */ + __IO uint32_t SWIER; /*!< EXTI Software interrupt event register, Address offset: 0x10 */ + __IO uint32_t PR; /*!< EXTI Pending register, Address offset: 0x14 */ +} EXTI_TypeDef; + +/** + * @brief FLASH Registers + */ + +typedef struct +{ + __IO uint32_t ACR; /*!< FLASH access control register, Address offset: 0x00 */ + __IO uint32_t KEYR; /*!< FLASH key register, Address offset: 0x04 */ + __IO uint32_t OPTKEYR; /*!< FLASH option key register, Address offset: 0x08 */ + __IO uint32_t SR; /*!< FLASH status register, Address offset: 0x0C */ + __IO uint32_t CR; /*!< FLASH control register, Address offset: 0x10 */ + __IO uint32_t OPTCR; /*!< FLASH option control register, Address offset: 0x14 */ +} FLASH_TypeDef; + + +/** + * @brief Flexible Static Memory Controller + */ + +typedef struct +{ + __IO uint32_t BTCR[8]; /*!< NOR/PSRAM chip-select control register(BCR) and chip-select timing register(BTR), Address offset: 0x00-1C */ +} FSMC_Bank1_TypeDef; + +/** + * @brief Flexible Static Memory Controller Bank1E + */ + +typedef struct +{ + __IO uint32_t BWTR[7]; /*!< NOR/PSRAM write timing registers, Address offset: 0x104-0x11C */ +} FSMC_Bank1E_TypeDef; + +/** + * @brief Flexible Static Memory Controller Bank2 + */ + +typedef struct +{ + __IO uint32_t PCR2; /*!< NAND Flash control register 2, Address offset: 0x60 */ + __IO uint32_t SR2; /*!< NAND Flash FIFO status and interrupt register 2, Address offset: 0x64 */ + __IO uint32_t PMEM2; /*!< NAND Flash Common memory space timing register 2, Address offset: 0x68 */ + __IO uint32_t PATT2; /*!< NAND Flash Attribute memory space timing register 2, Address offset: 0x6C */ + uint32_t RESERVED0; /*!< Reserved, 0x70 */ + __IO uint32_t ECCR2; /*!< NAND Flash ECC result registers 2, Address offset: 0x74 */ + uint32_t RESERVED1; /*!< Reserved, 0x78 */ + uint32_t RESERVED2; /*!< Reserved, 0x7C */ + __IO uint32_t PCR3; /*!< NAND Flash control register 3, Address offset: 0x80 */ + __IO uint32_t SR3; /*!< NAND Flash FIFO status and interrupt register 3, Address offset: 0x84 */ + __IO uint32_t PMEM3; /*!< NAND Flash Common memory space timing register 3, Address offset: 0x88 */ + __IO uint32_t PATT3; /*!< NAND Flash Attribute memory space timing register 3, Address offset: 0x8C */ + uint32_t RESERVED3; /*!< Reserved, 0x90 */ + __IO uint32_t ECCR3; /*!< NAND Flash ECC result registers 3, Address offset: 0x94 */ +} FSMC_Bank2_3_TypeDef; + +/** + * @brief Flexible Static Memory Controller Bank4 + */ + +typedef struct +{ + __IO uint32_t PCR4; /*!< PC Card control register 4, Address offset: 0xA0 */ + __IO uint32_t SR4; /*!< PC Card FIFO status and interrupt register 4, Address offset: 0xA4 */ + __IO uint32_t PMEM4; /*!< PC Card Common memory space timing register 4, Address offset: 0xA8 */ + __IO uint32_t PATT4; /*!< PC Card Attribute memory space timing register 4, Address offset: 0xAC */ + __IO uint32_t PIO4; /*!< PC Card I/O space timing register 4, Address offset: 0xB0 */ +} FSMC_Bank4_TypeDef; + + +/** + * @brief General Purpose I/O + */ + +typedef struct +{ + __IO uint32_t MODER; /*!< GPIO port mode register, Address offset: 0x00 */ + __IO uint32_t OTYPER; /*!< GPIO port output type register, Address offset: 0x04 */ + __IO uint32_t OSPEEDR; /*!< GPIO port output speed register, Address offset: 0x08 */ + __IO uint32_t PUPDR; /*!< GPIO port pull-up/pull-down register, Address offset: 0x0C */ + __IO uint32_t IDR; /*!< GPIO port input data register, Address offset: 0x10 */ + __IO uint32_t ODR; /*!< GPIO port output data register, Address offset: 0x14 */ + __IO uint32_t BSRR; /*!< GPIO port bit set/reset register, Address offset: 0x18 */ + __IO uint32_t LCKR; /*!< GPIO port configuration lock register, Address offset: 0x1C */ + __IO uint32_t AFR[2]; /*!< GPIO alternate function registers, Address offset: 0x20-0x24 */ +} GPIO_TypeDef; + +/** + * @brief System configuration controller + */ + +typedef struct +{ + __IO uint32_t MEMRMP; /*!< SYSCFG memory remap register, Address offset: 0x00 */ + __IO uint32_t PMC; /*!< SYSCFG peripheral mode configuration register, Address offset: 0x04 */ + __IO uint32_t EXTICR[4]; /*!< SYSCFG external interrupt configuration registers, Address offset: 0x08-0x14 */ + uint32_t RESERVED[2]; /*!< Reserved, 0x18-0x1C */ + __IO uint32_t CMPCR; /*!< SYSCFG Compensation cell control register, Address offset: 0x20 */ +} SYSCFG_TypeDef; + +/** + * @brief Inter-integrated Circuit Interface + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< I2C Control register 1, Address offset: 0x00 */ + __IO uint32_t CR2; /*!< I2C Control register 2, Address offset: 0x04 */ + __IO uint32_t OAR1; /*!< I2C Own address register 1, Address offset: 0x08 */ + __IO uint32_t OAR2; /*!< I2C Own address register 2, Address offset: 0x0C */ + __IO uint32_t DR; /*!< I2C Data register, Address offset: 0x10 */ + __IO uint32_t SR1; /*!< I2C Status register 1, Address offset: 0x14 */ + __IO uint32_t SR2; /*!< I2C Status register 2, Address offset: 0x18 */ + __IO uint32_t CCR; /*!< I2C Clock control register, Address offset: 0x1C */ + __IO uint32_t TRISE; /*!< I2C TRISE register, Address offset: 0x20 */ +} I2C_TypeDef; + +/** + * @brief Independent WATCHDOG + */ + +typedef struct +{ + __IO uint32_t KR; /*!< IWDG Key register, Address offset: 0x00 */ + __IO uint32_t PR; /*!< IWDG Prescaler register, Address offset: 0x04 */ + __IO uint32_t RLR; /*!< IWDG Reload register, Address offset: 0x08 */ + __IO uint32_t SR; /*!< IWDG Status register, Address offset: 0x0C */ +} IWDG_TypeDef; + +/** + * @brief Power Control + */ + +typedef struct +{ + __IO uint32_t CR; /*!< PWR power control register, Address offset: 0x00 */ + __IO uint32_t CSR; /*!< PWR power control/status register, Address offset: 0x04 */ +} PWR_TypeDef; + +/** + * @brief Reset and Clock Control + */ + +typedef struct +{ + __IO uint32_t CR; /*!< RCC clock control register, Address offset: 0x00 */ + __IO uint32_t PLLCFGR; /*!< RCC PLL configuration register, Address offset: 0x04 */ + __IO uint32_t CFGR; /*!< RCC clock configuration register, Address offset: 0x08 */ + __IO uint32_t CIR; /*!< RCC clock interrupt register, Address offset: 0x0C */ + __IO uint32_t AHB1RSTR; /*!< RCC AHB1 peripheral reset register, Address offset: 0x10 */ + __IO uint32_t AHB2RSTR; /*!< RCC AHB2 peripheral reset register, Address offset: 0x14 */ + __IO uint32_t AHB3RSTR; /*!< RCC AHB3 peripheral reset register, Address offset: 0x18 */ + uint32_t RESERVED0; /*!< Reserved, 0x1C */ + __IO uint32_t APB1RSTR; /*!< RCC APB1 peripheral reset register, Address offset: 0x20 */ + __IO uint32_t APB2RSTR; /*!< RCC APB2 peripheral reset register, Address offset: 0x24 */ + uint32_t RESERVED1[2]; /*!< Reserved, 0x28-0x2C */ + __IO uint32_t AHB1ENR; /*!< RCC AHB1 peripheral clock register, Address offset: 0x30 */ + __IO uint32_t AHB2ENR; /*!< RCC AHB2 peripheral clock register, Address offset: 0x34 */ + __IO uint32_t AHB3ENR; /*!< RCC AHB3 peripheral clock register, Address offset: 0x38 */ + uint32_t RESERVED2; /*!< Reserved, 0x3C */ + __IO uint32_t APB1ENR; /*!< RCC APB1 peripheral clock enable register, Address offset: 0x40 */ + __IO uint32_t APB2ENR; /*!< RCC APB2 peripheral clock enable register, Address offset: 0x44 */ + uint32_t RESERVED3[2]; /*!< Reserved, 0x48-0x4C */ + __IO uint32_t AHB1LPENR; /*!< RCC AHB1 peripheral clock enable in low power mode register, Address offset: 0x50 */ + __IO uint32_t AHB2LPENR; /*!< RCC AHB2 peripheral clock enable in low power mode register, Address offset: 0x54 */ + __IO uint32_t AHB3LPENR; /*!< RCC AHB3 peripheral clock enable in low power mode register, Address offset: 0x58 */ + uint32_t RESERVED4; /*!< Reserved, 0x5C */ + __IO uint32_t APB1LPENR; /*!< RCC APB1 peripheral clock enable in low power mode register, Address offset: 0x60 */ + __IO uint32_t APB2LPENR; /*!< RCC APB2 peripheral clock enable in low power mode register, Address offset: 0x64 */ + uint32_t RESERVED5[2]; /*!< Reserved, 0x68-0x6C */ + __IO uint32_t BDCR; /*!< RCC Backup domain control register, Address offset: 0x70 */ + __IO uint32_t CSR; /*!< RCC clock control & status register, Address offset: 0x74 */ + uint32_t RESERVED6[2]; /*!< Reserved, 0x78-0x7C */ + __IO uint32_t SSCGR; /*!< RCC spread spectrum clock generation register, Address offset: 0x80 */ + __IO uint32_t PLLI2SCFGR; /*!< RCC PLLI2S configuration register, Address offset: 0x84 */ + +} RCC_TypeDef; + +/** + * @brief Real-Time Clock + */ + +typedef struct +{ + __IO uint32_t TR; /*!< RTC time register, Address offset: 0x00 */ + __IO uint32_t DR; /*!< RTC date register, Address offset: 0x04 */ + __IO uint32_t CR; /*!< RTC control register, Address offset: 0x08 */ + __IO uint32_t ISR; /*!< RTC initialization and status register, Address offset: 0x0C */ + __IO uint32_t PRER; /*!< RTC prescaler register, Address offset: 0x10 */ + __IO uint32_t WUTR; /*!< RTC wakeup timer register, Address offset: 0x14 */ + __IO uint32_t CALIBR; /*!< RTC calibration register, Address offset: 0x18 */ + __IO uint32_t ALRMAR; /*!< RTC alarm A register, Address offset: 0x1C */ + __IO uint32_t ALRMBR; /*!< RTC alarm B register, Address offset: 0x20 */ + __IO uint32_t WPR; /*!< RTC write protection register, Address offset: 0x24 */ + uint32_t RESERVED1; /*!< Reserved, 0x28 */ + uint32_t RESERVED2; /*!< Reserved, 0x2C */ + __IO uint32_t TSTR; /*!< RTC time stamp time register, Address offset: 0x30 */ + __IO uint32_t TSDR; /*!< RTC time stamp date register, Address offset: 0x34 */ + uint32_t RESERVED3; /*!< Reserved, 0x38 */ + uint32_t RESERVED4; /*!< Reserved, 0x3C */ + __IO uint32_t TAFCR; /*!< RTC tamper and alternate function configuration register, Address offset: 0x40 */ + uint32_t RESERVED5; /*!< Reserved, 0x44 */ + uint32_t RESERVED6; /*!< Reserved, 0x48 */ + uint32_t RESERVED7; /*!< Reserved, 0x4C */ + __IO uint32_t BKP0R; /*!< RTC backup register 1, Address offset: 0x50 */ + __IO uint32_t BKP1R; /*!< RTC backup register 1, Address offset: 0x54 */ + __IO uint32_t BKP2R; /*!< RTC backup register 2, Address offset: 0x58 */ + __IO uint32_t BKP3R; /*!< RTC backup register 3, Address offset: 0x5C */ + __IO uint32_t BKP4R; /*!< RTC backup register 4, Address offset: 0x60 */ + __IO uint32_t BKP5R; /*!< RTC backup register 5, Address offset: 0x64 */ + __IO uint32_t BKP6R; /*!< RTC backup register 6, Address offset: 0x68 */ + __IO uint32_t BKP7R; /*!< RTC backup register 7, Address offset: 0x6C */ + __IO uint32_t BKP8R; /*!< RTC backup register 8, Address offset: 0x70 */ + __IO uint32_t BKP9R; /*!< RTC backup register 9, Address offset: 0x74 */ + __IO uint32_t BKP10R; /*!< RTC backup register 10, Address offset: 0x78 */ + __IO uint32_t BKP11R; /*!< RTC backup register 11, Address offset: 0x7C */ + __IO uint32_t BKP12R; /*!< RTC backup register 12, Address offset: 0x80 */ + __IO uint32_t BKP13R; /*!< RTC backup register 13, Address offset: 0x84 */ + __IO uint32_t BKP14R; /*!< RTC backup register 14, Address offset: 0x88 */ + __IO uint32_t BKP15R; /*!< RTC backup register 15, Address offset: 0x8C */ + __IO uint32_t BKP16R; /*!< RTC backup register 16, Address offset: 0x90 */ + __IO uint32_t BKP17R; /*!< RTC backup register 17, Address offset: 0x94 */ + __IO uint32_t BKP18R; /*!< RTC backup register 18, Address offset: 0x98 */ + __IO uint32_t BKP19R; /*!< RTC backup register 19, Address offset: 0x9C */ +} RTC_TypeDef; + + +/** + * @brief SD host Interface + */ + +typedef struct +{ + __IO uint32_t POWER; /*!< SDIO power control register, Address offset: 0x00 */ + __IO uint32_t CLKCR; /*!< SDI clock control register, Address offset: 0x04 */ + __IO uint32_t ARG; /*!< SDIO argument register, Address offset: 0x08 */ + __IO uint32_t CMD; /*!< SDIO command register, Address offset: 0x0C */ + __IO const uint32_t RESPCMD; /*!< SDIO command response register, Address offset: 0x10 */ + __IO const uint32_t RESP1; /*!< SDIO response 1 register, Address offset: 0x14 */ + __IO const uint32_t RESP2; /*!< SDIO response 2 register, Address offset: 0x18 */ + __IO const uint32_t RESP3; /*!< SDIO response 3 register, Address offset: 0x1C */ + __IO const uint32_t RESP4; /*!< SDIO response 4 register, Address offset: 0x20 */ + __IO uint32_t DTIMER; /*!< SDIO data timer register, Address offset: 0x24 */ + __IO uint32_t DLEN; /*!< SDIO data length register, Address offset: 0x28 */ + __IO uint32_t DCTRL; /*!< SDIO data control register, Address offset: 0x2C */ + __IO const uint32_t DCOUNT; /*!< SDIO data counter register, Address offset: 0x30 */ + __IO const uint32_t STA; /*!< SDIO status register, Address offset: 0x34 */ + __IO uint32_t ICR; /*!< SDIO interrupt clear register, Address offset: 0x38 */ + __IO uint32_t MASK; /*!< SDIO mask register, Address offset: 0x3C */ + uint32_t RESERVED0[2]; /*!< Reserved, 0x40-0x44 */ + __IO const uint32_t FIFOCNT; /*!< SDIO FIFO counter register, Address offset: 0x48 */ + uint32_t RESERVED1[13]; /*!< Reserved, 0x4C-0x7C */ + __IO uint32_t FIFO; /*!< SDIO data FIFO register, Address offset: 0x80 */ +} SDIO_TypeDef; + +/** + * @brief Serial Peripheral Interface + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< SPI control register 1 (not used in I2S mode), Address offset: 0x00 */ + __IO uint32_t CR2; /*!< SPI control register 2, Address offset: 0x04 */ + __IO uint32_t SR; /*!< SPI status register, Address offset: 0x08 */ + __IO uint32_t DR; /*!< SPI data register, Address offset: 0x0C */ + __IO uint32_t CRCPR; /*!< SPI CRC polynomial register (not used in I2S mode), Address offset: 0x10 */ + __IO uint32_t RXCRCR; /*!< SPI RX CRC register (not used in I2S mode), Address offset: 0x14 */ + __IO uint32_t TXCRCR; /*!< SPI TX CRC register (not used in I2S mode), Address offset: 0x18 */ + __IO uint32_t I2SCFGR; /*!< SPI_I2S configuration register, Address offset: 0x1C */ + __IO uint32_t I2SPR; /*!< SPI_I2S prescaler register, Address offset: 0x20 */ +} SPI_TypeDef; + +/** + * @brief TIM + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< TIM control register 1, Address offset: 0x00 */ + __IO uint32_t CR2; /*!< TIM control register 2, Address offset: 0x04 */ + __IO uint32_t SMCR; /*!< TIM slave mode control register, Address offset: 0x08 */ + __IO uint32_t DIER; /*!< TIM DMA/interrupt enable register, Address offset: 0x0C */ + __IO uint32_t SR; /*!< TIM status register, Address offset: 0x10 */ + __IO uint32_t EGR; /*!< TIM event generation register, Address offset: 0x14 */ + __IO uint32_t CCMR1; /*!< TIM capture/compare mode register 1, Address offset: 0x18 */ + __IO uint32_t CCMR2; /*!< TIM capture/compare mode register 2, Address offset: 0x1C */ + __IO uint32_t CCER; /*!< TIM capture/compare enable register, Address offset: 0x20 */ + __IO uint32_t CNT; /*!< TIM counter register, Address offset: 0x24 */ + __IO uint32_t PSC; /*!< TIM prescaler, Address offset: 0x28 */ + __IO uint32_t ARR; /*!< TIM auto-reload register, Address offset: 0x2C */ + __IO uint32_t RCR; /*!< TIM repetition counter register, Address offset: 0x30 */ + __IO uint32_t CCR1; /*!< TIM capture/compare register 1, Address offset: 0x34 */ + __IO uint32_t CCR2; /*!< TIM capture/compare register 2, Address offset: 0x38 */ + __IO uint32_t CCR3; /*!< TIM capture/compare register 3, Address offset: 0x3C */ + __IO uint32_t CCR4; /*!< TIM capture/compare register 4, Address offset: 0x40 */ + __IO uint32_t BDTR; /*!< TIM break and dead-time register, Address offset: 0x44 */ + __IO uint32_t DCR; /*!< TIM DMA control register, Address offset: 0x48 */ + __IO uint32_t DMAR; /*!< TIM DMA address for full transfer, Address offset: 0x4C */ + __IO uint32_t OR; /*!< TIM option register, Address offset: 0x50 */ +} TIM_TypeDef; + +/** + * @brief Universal Synchronous Asynchronous Receiver Transmitter + */ + +typedef struct +{ + __IO uint32_t SR; /*!< USART Status register, Address offset: 0x00 */ + __IO uint32_t DR; /*!< USART Data register, Address offset: 0x04 */ + __IO uint32_t BRR; /*!< USART Baud rate register, Address offset: 0x08 */ + __IO uint32_t CR1; /*!< USART Control register 1, Address offset: 0x0C */ + __IO uint32_t CR2; /*!< USART Control register 2, Address offset: 0x10 */ + __IO uint32_t CR3; /*!< USART Control register 3, Address offset: 0x14 */ + __IO uint32_t GTPR; /*!< USART Guard time and prescaler register, Address offset: 0x18 */ +} USART_TypeDef; + +/** + * @brief Window WATCHDOG + */ + +typedef struct +{ + __IO uint32_t CR; /*!< WWDG Control register, Address offset: 0x00 */ + __IO uint32_t CFR; /*!< WWDG Configuration register, Address offset: 0x04 */ + __IO uint32_t SR; /*!< WWDG Status register, Address offset: 0x08 */ +} WWDG_TypeDef; + + +/** + * @brief RNG + */ + +typedef struct +{ + __IO uint32_t CR; /*!< RNG control register, Address offset: 0x00 */ + __IO uint32_t SR; /*!< RNG status register, Address offset: 0x04 */ + __IO uint32_t DR; /*!< RNG data register, Address offset: 0x08 */ +} RNG_TypeDef; + + + +/** + * @brief __USB_OTG_Core_register + */ +typedef struct +{ + __IO uint32_t GOTGCTL; /*!< USB_OTG Control and Status Register Address offset : 0x00 */ + __IO uint32_t GOTGINT; /*!< USB_OTG Interrupt Register Address offset : 0x04 */ + __IO uint32_t GAHBCFG; /*!< Core AHB Configuration Register Address offset : 0x08 */ + __IO uint32_t GUSBCFG; /*!< Core USB Configuration Register Address offset : 0x0C */ + __IO uint32_t GRSTCTL; /*!< Core Reset Register Address offset : 0x10 */ + __IO uint32_t GINTSTS; /*!< Core Interrupt Register Address offset : 0x14 */ + __IO uint32_t GINTMSK; /*!< Core Interrupt Mask Register Address offset : 0x18 */ + __IO uint32_t GRXSTSR; /*!< Receive Sts Q Read Register Address offset : 0x1C */ + __IO uint32_t GRXSTSP; /*!< Receive Sts Q Read & POP Register Address offset : 0x20 */ + __IO uint32_t GRXFSIZ; /* Receive FIFO Size Register Address offset : 0x24 */ + __IO uint32_t DIEPTXF0_HNPTXFSIZ; /*!< EP0 / Non Periodic Tx FIFO Size Register Address offset : 0x28 */ + __IO uint32_t HNPTXSTS; /*!< Non Periodic Tx FIFO/Queue Sts reg Address offset : 0x2C */ + uint32_t Reserved30[2]; /* Reserved Address offset : 0x30 */ + __IO uint32_t GCCFG; /*!< General Purpose IO Register Address offset : 0x38 */ + __IO uint32_t CID; /*!< User ID Register Address offset : 0x3C */ + uint32_t Reserved40[48]; /*!< Reserved Address offset : 0x40-0xFF */ + __IO uint32_t HPTXFSIZ; /*!< Host Periodic Tx FIFO Size Reg Address offset : 0x100 */ + __IO uint32_t DIEPTXF[0x0F]; /*!< dev Periodic Transmit FIFO */ +} +USB_OTG_GlobalTypeDef; + + + +/** + * @brief __device_Registers + */ +typedef struct +{ + __IO uint32_t DCFG; /*!< dev Configuration Register Address offset : 0x800 */ + __IO uint32_t DCTL; /*!< dev Control Register Address offset : 0x804 */ + __IO uint32_t DSTS; /*!< dev Status Register (RO) Address offset : 0x808 */ + uint32_t Reserved0C; /*!< Reserved Address offset : 0x80C */ + __IO uint32_t DIEPMSK; /* !< dev IN Endpoint Mask Address offset : 0x810 */ + __IO uint32_t DOEPMSK; /*!< dev OUT Endpoint Mask Address offset : 0x814 */ + __IO uint32_t DAINT; /*!< dev All Endpoints Itr Reg Address offset : 0x818 */ + __IO uint32_t DAINTMSK; /*!< dev All Endpoints Itr Mask Address offset : 0x81C */ + uint32_t Reserved20; /*!< Reserved Address offset : 0x820 */ + uint32_t Reserved9; /*!< Reserved Address offset : 0x824 */ + __IO uint32_t DVBUSDIS; /*!< dev VBUS discharge Register Address offset : 0x828 */ + __IO uint32_t DVBUSPULSE; /*!< dev VBUS Pulse Register Address offset : 0x82C */ + __IO uint32_t DTHRCTL; /*!< dev thr Address offset : 0x830 */ + __IO uint32_t DIEPEMPMSK; /*!< dev empty msk Address offset : 0x834 */ + __IO uint32_t DEACHINT; /*!< dedicated EP interrupt Address offset : 0x838 */ + __IO uint32_t DEACHMSK; /*!< dedicated EP msk Address offset : 0x83C */ + uint32_t Reserved40; /*!< dedicated EP mask Address offset : 0x840 */ + __IO uint32_t DINEP1MSK; /*!< dedicated EP mask Address offset : 0x844 */ + uint32_t Reserved44[15]; /*!< Reserved Address offset : 0x844-0x87C */ + __IO uint32_t DOUTEP1MSK; /*!< dedicated EP msk Address offset : 0x884 */ +} +USB_OTG_DeviceTypeDef; + + +/** + * @brief __IN_Endpoint-Specific_Register + */ +typedef struct +{ + __IO uint32_t DIEPCTL; /* dev IN Endpoint Control Reg 900h + (ep_num * 20h) + 00h */ + uint32_t Reserved04; /* Reserved 900h + (ep_num * 20h) + 04h */ + __IO uint32_t DIEPINT; /* dev IN Endpoint Itr Reg 900h + (ep_num * 20h) + 08h */ + uint32_t Reserved0C; /* Reserved 900h + (ep_num * 20h) + 0Ch */ + __IO uint32_t DIEPTSIZ; /* IN Endpoint Txfer Size 900h + (ep_num * 20h) + 10h */ + __IO uint32_t DIEPDMA; /* IN Endpoint DMA Address Reg 900h + (ep_num * 20h) + 14h */ + __IO uint32_t DTXFSTS; /*IN Endpoint Tx FIFO Status Reg 900h + (ep_num * 20h) + 18h */ + uint32_t Reserved18; /* Reserved 900h+(ep_num*20h)+1Ch-900h+ (ep_num * 20h) + 1Ch */ +} +USB_OTG_INEndpointTypeDef; + + +/** + * @brief __OUT_Endpoint-Specific_Registers + */ +typedef struct +{ + __IO uint32_t DOEPCTL; /* dev OUT Endpoint Control Reg B00h + (ep_num * 20h) + 00h*/ + uint32_t Reserved04; /* Reserved B00h + (ep_num * 20h) + 04h*/ + __IO uint32_t DOEPINT; /* dev OUT Endpoint Itr Reg B00h + (ep_num * 20h) + 08h*/ + uint32_t Reserved0C; /* Reserved B00h + (ep_num * 20h) + 0Ch*/ + __IO uint32_t DOEPTSIZ; /* dev OUT Endpoint Txfer Size B00h + (ep_num * 20h) + 10h*/ + __IO uint32_t DOEPDMA; /* dev OUT Endpoint DMA Address B00h + (ep_num * 20h) + 14h*/ + uint32_t Reserved18[2]; /* Reserved B00h + (ep_num * 20h) + 18h - B00h + (ep_num * 20h) + 1Ch*/ +} +USB_OTG_OUTEndpointTypeDef; + + +/** + * @brief __Host_Mode_Register_Structures + */ +typedef struct +{ + __IO uint32_t HCFG; /* Host Configuration Register 400h*/ + __IO uint32_t HFIR; /* Host Frame Interval Register 404h*/ + __IO uint32_t HFNUM; /* Host Frame Nbr/Frame Remaining 408h*/ + uint32_t Reserved40C; /* Reserved 40Ch*/ + __IO uint32_t HPTXSTS; /* Host Periodic Tx FIFO/ Queue Status 410h*/ + __IO uint32_t HAINT; /* Host All Channels Interrupt Register 414h*/ + __IO uint32_t HAINTMSK; /* Host All Channels Interrupt Mask 418h*/ +} +USB_OTG_HostTypeDef; + + +/** + * @brief __Host_Channel_Specific_Registers + */ +typedef struct +{ + __IO uint32_t HCCHAR; + __IO uint32_t HCSPLT; + __IO uint32_t HCINT; + __IO uint32_t HCINTMSK; + __IO uint32_t HCTSIZ; + __IO uint32_t HCDMA; + uint32_t Reserved[2]; +} +USB_OTG_HostChannelTypeDef; + + +/** + * @brief Peripheral_memory_map + */ +#define FLASH_BASE 0x08000000U /*!< FLASH(up to 1 MB) base address in the alias region */ +#define SRAM1_BASE 0x20000000U /*!< SRAM1(112 KB) base address in the alias region */ +#define SRAM2_BASE 0x2001C000U /*!< SRAM2(16 KB) base address in the alias region */ +#define PERIPH_BASE 0x40000000U /*!< Peripheral base address in the alias region */ +#define BKPSRAM_BASE 0x40024000U /*!< Backup SRAM(4 KB) base address in the alias region */ +#define FSMC_R_BASE 0xA0000000U /*!< FSMC registers base address */ +#define SRAM1_BB_BASE 0x22000000U /*!< SRAM1(112 KB) base address in the bit-band region */ +#define SRAM2_BB_BASE 0x22380000U /*!< SRAM2(16 KB) base address in the bit-band region */ +#define PERIPH_BB_BASE 0x42000000U /*!< Peripheral base address in the bit-band region */ +#define BKPSRAM_BB_BASE 0x42480000U /*!< Backup SRAM(4 KB) base address in the bit-band region */ +#define FLASH_END 0x080FFFFFU /*!< FLASH end address */ + +/* Legacy defines */ +#define SRAM_BASE SRAM1_BASE +#define SRAM_BB_BASE SRAM1_BB_BASE + + +/*!< Peripheral memory map */ +#define APB1PERIPH_BASE PERIPH_BASE +#define APB2PERIPH_BASE (PERIPH_BASE + 0x00010000U) +#define AHB1PERIPH_BASE (PERIPH_BASE + 0x00020000U) +#define AHB2PERIPH_BASE (PERIPH_BASE + 0x10000000U) + +/*!< APB1 peripherals */ +#define TIM2_BASE (APB1PERIPH_BASE + 0x0000U) +#define TIM3_BASE (APB1PERIPH_BASE + 0x0400U) +#define TIM4_BASE (APB1PERIPH_BASE + 0x0800U) +#define TIM5_BASE (APB1PERIPH_BASE + 0x0C00U) +#define TIM6_BASE (APB1PERIPH_BASE + 0x1000U) +#define TIM7_BASE (APB1PERIPH_BASE + 0x1400U) +#define TIM12_BASE (APB1PERIPH_BASE + 0x1800U) +#define TIM13_BASE (APB1PERIPH_BASE + 0x1C00U) +#define TIM14_BASE (APB1PERIPH_BASE + 0x2000U) +#define RTC_BASE (APB1PERIPH_BASE + 0x2800U) +#define WWDG_BASE (APB1PERIPH_BASE + 0x2C00U) +#define IWDG_BASE (APB1PERIPH_BASE + 0x3000U) +#define SPI2_BASE (APB1PERIPH_BASE + 0x3800U) +#define SPI3_BASE (APB1PERIPH_BASE + 0x3C00U) +#define USART2_BASE (APB1PERIPH_BASE + 0x4400U) +#define USART3_BASE (APB1PERIPH_BASE + 0x4800U) +#define UART4_BASE (APB1PERIPH_BASE + 0x4C00U) +#define UART5_BASE (APB1PERIPH_BASE + 0x5000U) +#define I2C1_BASE (APB1PERIPH_BASE + 0x5400U) +#define I2C2_BASE (APB1PERIPH_BASE + 0x5800U) +#define I2C3_BASE (APB1PERIPH_BASE + 0x5C00U) +#define CAN1_BASE (APB1PERIPH_BASE + 0x6400U) +#define CAN2_BASE (APB1PERIPH_BASE + 0x6800U) +#define PWR_BASE (APB1PERIPH_BASE + 0x7000U) +#define DAC_BASE (APB1PERIPH_BASE + 0x7400U) + +/*!< APB2 peripherals */ +#define TIM1_BASE (APB2PERIPH_BASE + 0x0000U) +#define TIM8_BASE (APB2PERIPH_BASE + 0x0400U) +#define USART1_BASE (APB2PERIPH_BASE + 0x1000U) +#define USART6_BASE (APB2PERIPH_BASE + 0x1400U) +#define ADC1_BASE (APB2PERIPH_BASE + 0x2000U) +#define ADC2_BASE (APB2PERIPH_BASE + 0x2100U) +#define ADC3_BASE (APB2PERIPH_BASE + 0x2200U) +#define ADC_BASE (APB2PERIPH_BASE + 0x2300U) +#define SDIO_BASE (APB2PERIPH_BASE + 0x2C00U) +#define SPI1_BASE (APB2PERIPH_BASE + 0x3000U) +#define SYSCFG_BASE (APB2PERIPH_BASE + 0x3800U) +#define EXTI_BASE (APB2PERIPH_BASE + 0x3C00U) +#define TIM9_BASE (APB2PERIPH_BASE + 0x4000U) +#define TIM10_BASE (APB2PERIPH_BASE + 0x4400U) +#define TIM11_BASE (APB2PERIPH_BASE + 0x4800U) + +/*!< AHB1 peripherals */ +#define GPIOA_BASE (AHB1PERIPH_BASE + 0x0000U) +#define GPIOB_BASE (AHB1PERIPH_BASE + 0x0400U) +#define GPIOC_BASE (AHB1PERIPH_BASE + 0x0800U) +#define GPIOD_BASE (AHB1PERIPH_BASE + 0x0C00U) +#define GPIOE_BASE (AHB1PERIPH_BASE + 0x1000U) +#define GPIOF_BASE (AHB1PERIPH_BASE + 0x1400U) +#define GPIOG_BASE (AHB1PERIPH_BASE + 0x1800U) +#define GPIOH_BASE (AHB1PERIPH_BASE + 0x1C00U) +#define GPIOI_BASE (AHB1PERIPH_BASE + 0x2000U) +#define CRC_BASE (AHB1PERIPH_BASE + 0x3000U) +#define RCC_BASE (AHB1PERIPH_BASE + 0x3800U) +#define FLASH_R_BASE (AHB1PERIPH_BASE + 0x3C00U) +#define DMA1_BASE (AHB1PERIPH_BASE + 0x6000U) +#define DMA1_Stream0_BASE (DMA1_BASE + 0x010U) +#define DMA1_Stream1_BASE (DMA1_BASE + 0x028U) +#define DMA1_Stream2_BASE (DMA1_BASE + 0x040U) +#define DMA1_Stream3_BASE (DMA1_BASE + 0x058U) +#define DMA1_Stream4_BASE (DMA1_BASE + 0x070U) +#define DMA1_Stream5_BASE (DMA1_BASE + 0x088U) +#define DMA1_Stream6_BASE (DMA1_BASE + 0x0A0U) +#define DMA1_Stream7_BASE (DMA1_BASE + 0x0B8U) +#define DMA2_BASE (AHB1PERIPH_BASE + 0x6400U) +#define DMA2_Stream0_BASE (DMA2_BASE + 0x010U) +#define DMA2_Stream1_BASE (DMA2_BASE + 0x028U) +#define DMA2_Stream2_BASE (DMA2_BASE + 0x040U) +#define DMA2_Stream3_BASE (DMA2_BASE + 0x058U) +#define DMA2_Stream4_BASE (DMA2_BASE + 0x070U) +#define DMA2_Stream5_BASE (DMA2_BASE + 0x088U) +#define DMA2_Stream6_BASE (DMA2_BASE + 0x0A0U) +#define DMA2_Stream7_BASE (DMA2_BASE + 0x0B8U) + +/*!< AHB2 peripherals */ +#define RNG_BASE (AHB2PERIPH_BASE + 0x60800U) + +/*!< FSMC Bankx registers base address */ +#define FSMC_Bank1_R_BASE (FSMC_R_BASE + 0x0000U) +#define FSMC_Bank1E_R_BASE (FSMC_R_BASE + 0x0104U) +#define FSMC_Bank2_3_R_BASE (FSMC_R_BASE + 0x0060U) +#define FSMC_Bank4_R_BASE (FSMC_R_BASE + 0x00A0U) + +/* Debug MCU registers base address */ +#define DBGMCU_BASE 0xE0042000U + +/*!< USB registers base address */ +#define USB_OTG_HS_PERIPH_BASE 0x40040000U +#define USB_OTG_FS_PERIPH_BASE 0x50000000U + +#define USB_OTG_GLOBAL_BASE 0x000U +#define USB_OTG_DEVICE_BASE 0x800U +#define USB_OTG_IN_ENDPOINT_BASE 0x900U +#define USB_OTG_OUT_ENDPOINT_BASE 0xB00U +#define USB_OTG_EP_REG_SIZE 0x20U +#define USB_OTG_HOST_BASE 0x400U +#define USB_OTG_HOST_PORT_BASE 0x440U +#define USB_OTG_HOST_CHANNEL_BASE 0x500U +#define USB_OTG_HOST_CHANNEL_SIZE 0x20U +#define USB_OTG_PCGCCTL_BASE 0xE00U +#define USB_OTG_FIFO_BASE 0x1000U +#define USB_OTG_FIFO_SIZE 0x1000U + +/** + * @} + */ + +/** @addtogroup Peripheral_declaration + * @{ + */ +#define TIM2 ((TIM_TypeDef *) TIM2_BASE) +#define TIM3 ((TIM_TypeDef *) TIM3_BASE) +#define TIM4 ((TIM_TypeDef *) TIM4_BASE) +#define TIM5 ((TIM_TypeDef *) TIM5_BASE) +#define TIM6 ((TIM_TypeDef *) TIM6_BASE) +#define TIM7 ((TIM_TypeDef *) TIM7_BASE) +#define TIM12 ((TIM_TypeDef *) TIM12_BASE) +#define TIM13 ((TIM_TypeDef *) TIM13_BASE) +#define TIM14 ((TIM_TypeDef *) TIM14_BASE) +#define RTC ((RTC_TypeDef *) RTC_BASE) +#define WWDG ((WWDG_TypeDef *) WWDG_BASE) +#define IWDG ((IWDG_TypeDef *) IWDG_BASE) +#define SPI2 ((SPI_TypeDef *) SPI2_BASE) +#define SPI3 ((SPI_TypeDef *) SPI3_BASE) +#define USART2 ((USART_TypeDef *) USART2_BASE) +#define USART3 ((USART_TypeDef *) USART3_BASE) +#define UART4 ((USART_TypeDef *) UART4_BASE) +#define UART5 ((USART_TypeDef *) UART5_BASE) +#define I2C1 ((I2C_TypeDef *) I2C1_BASE) +#define I2C2 ((I2C_TypeDef *) I2C2_BASE) +#define I2C3 ((I2C_TypeDef *) I2C3_BASE) +#define CAN1 ((CAN_TypeDef *) CAN1_BASE) +#define CAN2 ((CAN_TypeDef *) CAN2_BASE) +#define PWR ((PWR_TypeDef *) PWR_BASE) +#define DAC ((DAC_TypeDef *) DAC_BASE) +#define TIM1 ((TIM_TypeDef *) TIM1_BASE) +#define TIM8 ((TIM_TypeDef *) TIM8_BASE) +#define USART1 ((USART_TypeDef *) USART1_BASE) +#define USART6 ((USART_TypeDef *) USART6_BASE) +#define ADC ((ADC_Common_TypeDef *) ADC_BASE) +#define ADC1 ((ADC_TypeDef *) ADC1_BASE) +#define ADC2 ((ADC_TypeDef *) ADC2_BASE) +#define ADC3 ((ADC_TypeDef *) ADC3_BASE) +#define SDIO ((SDIO_TypeDef *) SDIO_BASE) +#define SPI1 ((SPI_TypeDef *) SPI1_BASE) +#define SYSCFG ((SYSCFG_TypeDef *) SYSCFG_BASE) +#define EXTI ((EXTI_TypeDef *) EXTI_BASE) +#define TIM9 ((TIM_TypeDef *) TIM9_BASE) +#define TIM10 ((TIM_TypeDef *) TIM10_BASE) +#define TIM11 ((TIM_TypeDef *) TIM11_BASE) +#define GPIOA ((GPIO_TypeDef *) GPIOA_BASE) +#define GPIOB ((GPIO_TypeDef *) GPIOB_BASE) +#define GPIOC ((GPIO_TypeDef *) GPIOC_BASE) +#define GPIOD ((GPIO_TypeDef *) GPIOD_BASE) +#define GPIOE ((GPIO_TypeDef *) GPIOE_BASE) +#define GPIOF ((GPIO_TypeDef *) GPIOF_BASE) +#define GPIOG ((GPIO_TypeDef *) GPIOG_BASE) +#define GPIOH ((GPIO_TypeDef *) GPIOH_BASE) +#define GPIOI ((GPIO_TypeDef *) GPIOI_BASE) +#define CRC ((CRC_TypeDef *) CRC_BASE) +#define RCC ((RCC_TypeDef *) RCC_BASE) +#define FLASH ((FLASH_TypeDef *) FLASH_R_BASE) +#define DMA1 ((DMA_TypeDef *) DMA1_BASE) +#define DMA1_Stream0 ((DMA_Stream_TypeDef *) DMA1_Stream0_BASE) +#define DMA1_Stream1 ((DMA_Stream_TypeDef *) DMA1_Stream1_BASE) +#define DMA1_Stream2 ((DMA_Stream_TypeDef *) DMA1_Stream2_BASE) +#define DMA1_Stream3 ((DMA_Stream_TypeDef *) DMA1_Stream3_BASE) +#define DMA1_Stream4 ((DMA_Stream_TypeDef *) DMA1_Stream4_BASE) +#define DMA1_Stream5 ((DMA_Stream_TypeDef *) DMA1_Stream5_BASE) +#define DMA1_Stream6 ((DMA_Stream_TypeDef *) DMA1_Stream6_BASE) +#define DMA1_Stream7 ((DMA_Stream_TypeDef *) DMA1_Stream7_BASE) +#define DMA2 ((DMA_TypeDef *) DMA2_BASE) +#define DMA2_Stream0 ((DMA_Stream_TypeDef *) DMA2_Stream0_BASE) +#define DMA2_Stream1 ((DMA_Stream_TypeDef *) DMA2_Stream1_BASE) +#define DMA2_Stream2 ((DMA_Stream_TypeDef *) DMA2_Stream2_BASE) +#define DMA2_Stream3 ((DMA_Stream_TypeDef *) DMA2_Stream3_BASE) +#define DMA2_Stream4 ((DMA_Stream_TypeDef *) DMA2_Stream4_BASE) +#define DMA2_Stream5 ((DMA_Stream_TypeDef *) DMA2_Stream5_BASE) +#define DMA2_Stream6 ((DMA_Stream_TypeDef *) DMA2_Stream6_BASE) +#define DMA2_Stream7 ((DMA_Stream_TypeDef *) DMA2_Stream7_BASE) +#define RNG ((RNG_TypeDef *) RNG_BASE) +#define FSMC_Bank1 ((FSMC_Bank1_TypeDef *) FSMC_Bank1_R_BASE) +#define FSMC_Bank1E ((FSMC_Bank1E_TypeDef *) FSMC_Bank1E_R_BASE) +#define FSMC_Bank2_3 ((FSMC_Bank2_3_TypeDef *) FSMC_Bank2_3_R_BASE) +#define FSMC_Bank4 ((FSMC_Bank4_TypeDef *) FSMC_Bank4_R_BASE) + +#define DBGMCU ((DBGMCU_TypeDef *) DBGMCU_BASE) + +#define USB_OTG_FS ((USB_OTG_GlobalTypeDef *) USB_OTG_FS_PERIPH_BASE) +#define USB_OTG_HS ((USB_OTG_GlobalTypeDef *) USB_OTG_HS_PERIPH_BASE) + +/** + * @} + */ + +/** @addtogroup Exported_constants + * @{ + */ + + /** @addtogroup Peripheral_Registers_Bits_Definition + * @{ + */ + +/******************************************************************************/ +/* Peripheral Registers_Bits_Definition */ +/******************************************************************************/ + +/******************************************************************************/ +/* */ +/* Analog to Digital Converter */ +/* */ +/******************************************************************************/ +/******************** Bit definition for ADC_SR register ********************/ +#define ADC_SR_AWD 0x00000001U /*!
© COPYRIGHT(c) 2016 STMicroelectronics
+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32f2xx + * @{ + */ + +#ifndef __STM32F2xx_H +#define __STM32F2xx_H + +#ifdef __cplusplus + extern "C" { +#endif /* __cplusplus */ + +/** @addtogroup Library_configuration_section + * @{ + */ + +/** + * @brief STM32 Family + */ +#if !defined (STM32F2) +#define STM32F2 +#endif /* STM32F2 */ + +/* Uncomment the line below according to the target STM32 device used in your + application + */ +#if !defined (STM32F205xx) && !defined (STM32F215xx) && !defined (STM32F207xx) && !defined (STM32F217xx) + + /* #define STM32F205xx */ /*!< STM32F205RG, STM32F205VG, STM32F205ZG, STM32F205RF, STM32F205VF, STM32F205ZF, + STM32F205RE, STM32F205VE, STM32F205ZE, STM32F205RC, STM32F205VC, STM32F205ZC, + STM32F205RB and STM32F205VB Devices */ + /* #define STM32F215xx */ /*!< STM32F215RG, STM32F215VG, STM32F215ZG, STM32F215RE, STM32F215VE and STM32F215ZE Devices */ + /* #define STM32F207xx */ /*!< STM32F207VG, STM32F207ZG, STM32F207IG, STM32F207VF, STM32F207ZF, STM32F207IF, + STM32F207VE, STM32F207ZE, STM32F207IE, STM32F207VC, STM32F207ZC and STM32F207IC Devices */ + /* #define STM32F217xx */ /*!< STM32F217VG, STM32F217ZG, STM32F217IG, STM32F217VE, STM32F217ZE and STM32F217IE Devices */ + +#endif + +/* Tip: To avoid modifying this file each time you need to switch between these + devices, you can define the device in your toolchain compiler preprocessor. + */ +#if !defined (USE_HAL_DRIVER) +/** + * @brief Comment the line below if you will not use the peripherals drivers. + In this case, these drivers will not be included and the application code will + be based on direct access to peripherals registers + */ + /*#define USE_HAL_DRIVER */ +#endif /* USE_HAL_DRIVER */ + +/** + * @brief CMSIS Device version number V2.1.2 + */ +#define __STM32F2xx_CMSIS_VERSION_MAIN (0x02U) /*!< [31:24] main version */ +#define __STM32F2xx_CMSIS_VERSION_SUB1 (0x01U) /*!< [23:16] sub1 version */ +#define __STM32F2xx_CMSIS_VERSION_SUB2 (0x02U) /*!< [15:8] sub2 version */ +#define __STM32F2xx_CMSIS_VERSION_RC (0x00U) /*!< [7:0] release candidate */ +#define __STM32F2xx_CMSIS_VERSION ((__STM32F2xx_CMSIS_VERSION_MAIN << 24)\ + |(__STM32F2xx_CMSIS_VERSION_SUB1 << 16)\ + |(__STM32F2xx_CMSIS_VERSION_SUB2 << 8 )\ + |(__STM32F2xx_CMSIS_VERSION)) + +/** + * @} + */ + +/** @addtogroup Device_Included + * @{ + */ + +#if defined(STM32F205xx) + #include "stm32f205xx.h" +#elif defined(STM32F215xx) + #include "stm32f215xx.h" +#elif defined(STM32F207xx) + #include "stm32f207xx.h" +#elif defined(STM32F217xx) + #include "stm32f217xx.h" +#else + #error "Please select first the target STM32F2xx device used in your application (in stm32f2xx.h file)" +#endif + +/** + * @} + */ + +/** @addtogroup Exported_types + * @{ + */ +typedef enum +{ + RESET = 0, + SET = !RESET +} FlagStatus, ITStatus; + +typedef enum +{ + DISABLE = 0, + ENABLE = !DISABLE +} FunctionalState; +#define IS_FUNCTIONAL_STATE(STATE) (((STATE) == DISABLE) || ((STATE) == ENABLE)) + +typedef enum +{ + ERROR = 0, + SUCCESS = !ERROR +} ErrorStatus; + +/** + * @} + */ + + +/** @addtogroup Exported_macro + * @{ + */ +#define SET_BIT(REG, BIT) ((REG) |= (BIT)) + +#define CLEAR_BIT(REG, BIT) ((REG) &= ~(BIT)) + +#define READ_BIT(REG, BIT) ((REG) & (BIT)) + +#define CLEAR_REG(REG) ((REG) = (0x0)) + +#define WRITE_REG(REG, VAL) ((REG) = (VAL)) + +#define READ_REG(REG) ((REG)) + +#define MODIFY_REG(REG, CLEARMASK, SETMASK) WRITE_REG((REG), (((READ_REG(REG)) & (~(CLEARMASK))) | (SETMASK))) + +#define POSITION_VAL(VAL) (__CLZ(__RBIT(VAL))) + + +/** + * @} + */ + +#if defined (USE_HAL_DRIVER) + #include "stm32f2xx_hal.h" +#endif /* USE_HAL_DRIVER */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __STM32F2xx_H */ + +/** + * @} + */ + +/** + * @} + */ + + + + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/inc/stm32f2xx_hal_def.h b/board/inc/stm32f2xx_hal_def.h new file mode 100644 index 0000000..231aa74 --- /dev/null +++ b/board/inc/stm32f2xx_hal_def.h @@ -0,0 +1,181 @@ +/** + ****************************************************************************** + * @file stm32f2xx_hal_def.h + * @author MCD Application Team + * @version V1.1.3 + * @date 29-June-2016 + * @brief This file contains HAL common defines, enumeration, macros and + * structures definitions. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __STM32F2xx_HAL_DEF +#define __STM32F2xx_HAL_DEF + +#ifdef __cplusplus + extern "C" { +#endif + +/* Includes ------------------------------------------------------------------*/ +#include "stm32f2xx.h" +//#include "Legacy/stm32_hal_legacy.h" +//#include + +/* Exported types ------------------------------------------------------------*/ + +/** + * @brief HAL Status structures definition + */ +typedef enum +{ + HAL_OK = 0x00U, + HAL_ERROR = 0x01U, + HAL_BUSY = 0x02U, + HAL_TIMEOUT = 0x03U +} HAL_StatusTypeDef; + +/** + * @brief HAL Lock structures definition + */ +typedef enum +{ + HAL_UNLOCKED = 0x00U, + HAL_LOCKED = 0x01U +} HAL_LockTypeDef; + +/* Exported macro ------------------------------------------------------------*/ +#define HAL_MAX_DELAY 0xFFFFFFFFU + +#define HAL_IS_BIT_SET(REG, BIT) (((REG) & (BIT)) != RESET) +#define HAL_IS_BIT_CLR(REG, BIT) (((REG) & (BIT)) == RESET) + +#define __HAL_LINKDMA(__HANDLE__, __PPP_DMA_FIELD_, __DMA_HANDLE_) \ + do{ \ + (__HANDLE__)->__PPP_DMA_FIELD_ = &(__DMA_HANDLE_); \ + (__DMA_HANDLE_).Parent = (__HANDLE__); \ + } while(0) + +#define UNUSED(x) ((void)(x)) + +/** @brief Reset the Handle's State field. + * @param __HANDLE__: specifies the Peripheral Handle. + * @note This macro can be used for the following purpose: + * - When the Handle is declared as local variable; before passing it as parameter + * to HAL_PPP_Init() for the first time, it is mandatory to use this macro + * to set to 0 the Handle's "State" field. + * Otherwise, "State" field may have any random value and the first time the function + * HAL_PPP_Init() is called, the low level hardware initialization will be missed + * (i.e. HAL_PPP_MspInit() will not be executed). + * - When there is a need to reconfigure the low level hardware: instead of calling + * HAL_PPP_DeInit() then HAL_PPP_Init(), user can make a call to this macro then HAL_PPP_Init(). + * In this later function, when the Handle's "State" field is set to 0, it will execute the function + * HAL_PPP_MspInit() which will reconfigure the low level hardware. + * @retval None + */ +#define __HAL_RESET_HANDLE_STATE(__HANDLE__) ((__HANDLE__)->State = 0U) + +#if (USE_RTOS == 1) + /* Reserved for future use */ + #error " USE_RTOS should be 0 in the current HAL release " +#else + #define __HAL_LOCK(__HANDLE__) \ + do{ \ + if((__HANDLE__)->Lock == HAL_LOCKED) \ + { \ + return HAL_BUSY; \ + } \ + else \ + { \ + (__HANDLE__)->Lock = HAL_LOCKED; \ + } \ + }while (0) + + #define __HAL_UNLOCK(__HANDLE__) \ + do{ \ + (__HANDLE__)->Lock = HAL_UNLOCKED; \ + }while (0) +#endif /* USE_RTOS */ + +#if defined ( __GNUC__ ) + #ifndef __weak + #define __weak __attribute__((weak)) + #endif /* __weak */ + #ifndef __packed + #define __packed __attribute__((__packed__)) + #endif /* __packed */ +#endif /* __GNUC__ */ + + +/* Macro to get variable aligned on 4-bytes, for __ICCARM__ the directive "#pragma data_alignment=4" must be used instead */ +#if defined (__GNUC__) /* GNU Compiler */ + #ifndef __ALIGN_END + #define __ALIGN_END __attribute__ ((aligned (4))) + #endif /* __ALIGN_END */ + #ifndef __ALIGN_BEGIN + #define __ALIGN_BEGIN + #endif /* __ALIGN_BEGIN */ +#else + #ifndef __ALIGN_END + #define __ALIGN_END + #endif /* __ALIGN_END */ + #ifndef __ALIGN_BEGIN + #if defined (__CC_ARM) /* ARM Compiler */ + #define __ALIGN_BEGIN __align(4) + #elif defined (__ICCARM__) /* IAR Compiler */ + #define __ALIGN_BEGIN + #endif /* __CC_ARM */ + #endif /* __ALIGN_BEGIN */ +#endif /* __GNUC__ */ + +/** + * @brief __NOINLINE definition + */ +#if defined ( __CC_ARM ) || defined ( __GNUC__ ) +/* ARM & GNUCompiler + ---------------- +*/ +#define __NOINLINE __attribute__ ( (noinline) ) + +#elif defined ( __ICCARM__ ) +/* ICCARM Compiler + --------------- +*/ +#define __NOINLINE _Pragma("optimize = no_inline") + +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* ___STM32F2xx_HAL_DEF */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/inc/stm32f2xx_hal_gpio_ex.h b/board/inc/stm32f2xx_hal_gpio_ex.h new file mode 100644 index 0000000..a94f56c --- /dev/null +++ b/board/inc/stm32f2xx_hal_gpio_ex.h @@ -0,0 +1,299 @@ +/** + ****************************************************************************** + * @file stm32f2xx_hal_gpio_ex.h + * @author MCD Application Team + * @version V1.1.3 + * @date 29-June-2016 + * @brief Header file of GPIO HAL Extension module. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __STM32F2xx_HAL_GPIO_EX_H +#define __STM32F2xx_HAL_GPIO_EX_H + +#ifdef __cplusplus + extern "C" { +#endif + +/* Includes ------------------------------------------------------------------*/ +#include "stm32f2xx_hal_def.h" + +/** @addtogroup STM32F2xx_HAL_Driver + * @{ + */ + +/** @defgroup GPIOEx GPIOEx + * @{ + */ + +/* Exported types ------------------------------------------------------------*/ +/* Exported constants --------------------------------------------------------*/ +/* Exported constants --------------------------------------------------------*/ + +/** @defgroup GPIOEx_Exported_Constants GPIO Exported Constants + * @{ + */ + +/** @defgroup GPIO_Alternate_function_selection GPIO Alternate function selection + * @{ + */ + +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM8 ((uint8_t)0x03U) /* TIM8 Alternate Function mapping */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ + +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_USART3 ((uint8_t)0x07U) /* USART3 Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_UART4 ((uint8_t)0x08U) /* UART4 Alternate Function mapping */ +#define GPIO_AF8_UART5 ((uint8_t)0x08U) /* UART5 Alternate Function mapping */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_CAN1 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_CAN2 ((uint8_t)0x09U) /* CAN2 Alternate Function mapping */ +#define GPIO_AF9_TIM12 ((uint8_t)0x09U) /* TIM12 Alternate Function mapping */ +#define GPIO_AF9_TIM13 ((uint8_t)0x09U) /* TIM13 Alternate Function mapping */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0xAU) /* OTG_FS Alternate Function mapping */ +#define GPIO_AF10_OTG_HS ((uint8_t)0xAU) /* OTG_HS Alternate Function mapping */ + +/** + * @brief AF 11 selection + */ +#if defined(STM32F207xx) || defined(STM32F217xx) +#define GPIO_AF11_ETH ((uint8_t)0x0BU) /* ETHERNET Alternate Function mapping */ +#endif /* STM32F207xx || STM32F217xx */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_FSMC ((uint8_t)0xCU) /* FSMC Alternate Function mapping */ +#define GPIO_AF12_OTG_HS_FS ((uint8_t)0xCU) /* OTG HS configured in FS, Alternate Function mapping */ +#define GPIO_AF12_SDIO ((uint8_t)0xCU) /* SDIO Alternate Function mapping */ + +/** + * @brief AF 13 selection + */ +#if defined(STM32F207xx) || defined(STM32F217xx) +#define GPIO_AF13_DCMI ((uint8_t)0x0DU) /* DCMI Alternate Function mapping */ +#endif /* STM32F207xx || STM32F217xx */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ + +/** + * @} + */ + +/** + * @} + */ + +/* Exported macro ------------------------------------------------------------*/ +/** @defgroup GPIOEx_Exported_Macros GPIO Exported Macros + * @{ + */ +/** + * @} + */ + +/* Exported functions --------------------------------------------------------*/ +/** @defgroup GPIOEx_Exported_Functions GPIO Exported Functions + * @{ + */ +/** + * @} + */ + +/* Private types -------------------------------------------------------------*/ +/* Private variables ---------------------------------------------------------*/ +/* Private constants ---------------------------------------------------------*/ +/** @defgroup GPIOEx_Private_Constants GPIO Private Constants + * @{ + */ +/** + * @} + */ + +/* Private macros ------------------------------------------------------------*/ +/** @defgroup GPIOEx_Private_Macros GPIO Private Macros + * @{ + */ +/** @defgroup GPIOEx_Get_Port_Index GPIO Get Port Index + * @{ + */ +#define GPIO_GET_INDEX(__GPIOx__) (uint8_t)(((__GPIOx__) == (GPIOA))? 0U :\ + ((__GPIOx__) == (GPIOB))? 1U :\ + ((__GPIOx__) == (GPIOC))? 2U :\ + ((__GPIOx__) == (GPIOD))? 3U :\ + ((__GPIOx__) == (GPIOE))? 4U :\ + ((__GPIOx__) == (GPIOF))? 5U :\ + ((__GPIOx__) == (GPIOG))? 6U :\ + ((__GPIOx__) == (GPIOH))? 7U :\ + ((__GPIOx__) == (GPIOI))? 8U : 9U) +/** + * @} + */ + +/** @defgroup GPIOEx_IS_Alternat_function_selection GPIO Check Alternate Function + * @{ + */ +#if defined(STM32F207xx) || defined(STM32F217xx) + +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF3_TIM8) || \ + ((AF) == GPIO_AF4_I2C1) || ((AF) == GPIO_AF4_I2C2) || \ + ((AF) == GPIO_AF4_I2C3) || ((AF) == GPIO_AF5_SPI1) || \ + ((AF) == GPIO_AF5_SPI2) || ((AF) == GPIO_AF9_TIM13) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF9_TIM12) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF7_USART3) || ((AF) == GPIO_AF8_UART4) || \ + ((AF) == GPIO_AF8_UART5) || ((AF) == GPIO_AF8_USART6) || \ + ((AF) == GPIO_AF9_CAN1) || ((AF) == GPIO_AF9_CAN2) || \ + ((AF) == GPIO_AF10_OTG_FS) || ((AF) == GPIO_AF10_OTG_HS) || \ + ((AF) == GPIO_AF11_ETH) || ((AF) == GPIO_AF12_OTG_HS_FS) || \ + ((AF) == GPIO_AF12_SDIO) || ((AF) == GPIO_AF13_DCMI) || \ + ((AF) == GPIO_AF12_FSMC) || ((AF) == GPIO_AF15_EVENTOUT)) +#else /* STM32F207xx || STM32F217xx */ +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF3_TIM8) || \ + ((AF) == GPIO_AF4_I2C1) || ((AF) == GPIO_AF4_I2C2) || \ + ((AF) == GPIO_AF4_I2C3) || ((AF) == GPIO_AF5_SPI1) || \ + ((AF) == GPIO_AF5_SPI2) || ((AF) == GPIO_AF9_TIM13) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF9_TIM12) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF7_USART3) || ((AF) == GPIO_AF8_UART4) || \ + ((AF) == GPIO_AF8_UART5) || ((AF) == GPIO_AF8_USART6) || \ + ((AF) == GPIO_AF9_CAN1) || ((AF) == GPIO_AF9_CAN2) || \ + ((AF) == GPIO_AF10_OTG_FS) || ((AF) == GPIO_AF10_OTG_HS) || \ + ((AF) == GPIO_AF12_OTG_HS_FS) || ((AF) == GPIO_AF12_SDIO) || \ + ((AF) == GPIO_AF12_FSMC) || ((AF) == GPIO_AF15_EVENTOUT)) +#endif /* STM32F207xx || STM32F217xx */ + +/** + * @} + */ + +/** + * @} + */ + +/* Private functions ---------------------------------------------------------*/ +/** @defgroup GPIOEx_Private_Functions GPIO Private Functions + * @{ + */ + +/** + * @} + */ + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif /* __STM32F2xx_HAL_GPIO_EX_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/inc/stm32f413xx.h b/board/inc/stm32f413xx.h new file mode 100644 index 0000000..f520dbf --- /dev/null +++ b/board/inc/stm32f413xx.h @@ -0,0 +1,14994 @@ +/** + ****************************************************************************** + * @file stm32f413xx.h + * @author MCD Application Team + * @version V2.6.0 + * @date 04-November-2016 + * @brief CMSIS STM32F413xx Device Peripheral Access Layer Header File. + * + * This file contains: + * - Data structures and the address mapping for all peripherals + * - peripherals registers declarations and bits definition + * - Macros to access peripheral’s registers hardware + * + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/** @addtogroup CMSIS_Device + * @{ + */ + +/** @addtogroup stm32f413xx + * @{ + */ + +#ifndef __STM32F413xx_H +#define __STM32F413xx_H + +#ifdef __cplusplus + extern "C" { +#endif /* __cplusplus */ + +/** @addtogroup Configuration_section_for_CMSIS + * @{ + */ + +/** + * @brief Configuration of the Cortex-M4 Processor and Core Peripherals + */ +#define __CM4_REV 0x0001U /*!< Core revision r0p1 */ +#define __MPU_PRESENT 1U /*!< STM32F4XX provides an MPU */ +#define __NVIC_PRIO_BITS 4U /*!< STM32F4XX uses 4 Bits for the Priority Levels */ +#define __Vendor_SysTickConfig 0U /*!< Set to 1 if different SysTick Config is used */ +#define __FPU_PRESENT 1U /*!< FPU present */ + +/** + * @} + */ + +/** @addtogroup Peripheral_interrupt_number_definition + * @{ + */ + +/** + * @brief STM32F4XX Interrupt Number Definition, according to the selected device + * in @ref Library_configuration_section + */ +typedef enum +{ +/****** Cortex-M4 Processor Exceptions Numbers ****************************************************************/ + NonMaskableInt_IRQn = -14, /*!< 2 Non Maskable Interrupt */ + MemoryManagement_IRQn = -12, /*!< 4 Cortex-M4 Memory Management Interrupt */ + BusFault_IRQn = -11, /*!< 5 Cortex-M4 Bus Fault Interrupt */ + UsageFault_IRQn = -10, /*!< 6 Cortex-M4 Usage Fault Interrupt */ + SVCall_IRQn = -5, /*!< 11 Cortex-M4 SV Call Interrupt */ + DebugMonitor_IRQn = -4, /*!< 12 Cortex-M4 Debug Monitor Interrupt */ + PendSV_IRQn = -2, /*!< 14 Cortex-M4 Pend SV Interrupt */ + SysTick_IRQn = -1, /*!< 15 Cortex-M4 System Tick Interrupt */ +/****** STM32 specific Interrupt Numbers **********************************************************************/ + WWDG_IRQn = 0, /*!< Window WatchDog Interrupt */ + PVD_IRQn = 1, /*!< PVD through EXTI Line detection Interrupt */ + TAMP_STAMP_IRQn = 2, /*!< Tamper and TimeStamp interrupts through the EXTI line */ + RTC_WKUP_IRQn = 3, /*!< RTC Wakeup interrupt through the EXTI line */ + FLASH_IRQn = 4, /*!< FLASH global Interrupt */ + RCC_IRQn = 5, /*!< RCC global Interrupt */ + EXTI0_IRQn = 6, /*!< EXTI Line0 Interrupt */ + EXTI1_IRQn = 7, /*!< EXTI Line1 Interrupt */ + EXTI2_IRQn = 8, /*!< EXTI Line2 Interrupt */ + EXTI3_IRQn = 9, /*!< EXTI Line3 Interrupt */ + EXTI4_IRQn = 10, /*!< EXTI Line4 Interrupt */ + DMA1_Stream0_IRQn = 11, /*!< DMA1 Stream 0 global Interrupt */ + DMA1_Stream1_IRQn = 12, /*!< DMA1 Stream 1 global Interrupt */ + DMA1_Stream2_IRQn = 13, /*!< DMA1 Stream 2 global Interrupt */ + DMA1_Stream3_IRQn = 14, /*!< DMA1 Stream 3 global Interrupt */ + DMA1_Stream4_IRQn = 15, /*!< DMA1 Stream 4 global Interrupt */ + DMA1_Stream5_IRQn = 16, /*!< DMA1 Stream 5 global Interrupt */ + DMA1_Stream6_IRQn = 17, /*!< DMA1 Stream 6 global Interrupt */ + ADC_IRQn = 18, /*!< ADC1, ADC2 and ADC3 global Interrupts */ + CAN1_TX_IRQn = 19, /*!< CAN1 TX Interrupt */ + CAN1_RX0_IRQn = 20, /*!< CAN1 RX0 Interrupt */ + CAN1_RX1_IRQn = 21, /*!< CAN1 RX1 Interrupt */ + CAN1_SCE_IRQn = 22, /*!< CAN1 SCE Interrupt */ + EXTI9_5_IRQn = 23, /*!< External Line[9:5] Interrupts */ + TIM1_BRK_TIM9_IRQn = 24, /*!< TIM1 Break interrupt and TIM9 global interrupt */ + TIM1_UP_TIM10_IRQn = 25, /*!< TIM1 Update Interrupt and TIM10 global interrupt */ + TIM1_TRG_COM_TIM11_IRQn = 26, /*!< TIM1 Trigger and Commutation Interrupt and TIM11 global interrupt */ + TIM1_CC_IRQn = 27, /*!< TIM1 Capture Compare Interrupt */ + TIM2_IRQn = 28, /*!< TIM2 global Interrupt */ + TIM3_IRQn = 29, /*!< TIM3 global Interrupt */ + TIM4_IRQn = 30, /*!< TIM4 global Interrupt */ + I2C1_EV_IRQn = 31, /*!< I2C1 Event Interrupt */ + I2C1_ER_IRQn = 32, /*!< I2C1 Error Interrupt */ + I2C2_EV_IRQn = 33, /*!< I2C2 Event Interrupt */ + I2C2_ER_IRQn = 34, /*!< I2C2 Error Interrupt */ + SPI1_IRQn = 35, /*!< SPI1 global Interrupt */ + SPI2_IRQn = 36, /*!< SPI2 global Interrupt */ + USART1_IRQn = 37, /*!< USART1 global Interrupt */ + USART2_IRQn = 38, /*!< USART2 global Interrupt */ + USART3_IRQn = 39, /*!< USART3 global Interrupt */ + EXTI15_10_IRQn = 40, /*!< External Line[15:10] Interrupts */ + RTC_Alarm_IRQn = 41, /*!< RTC Alarm (A and B) through EXTI Line Interrupt */ + OTG_FS_WKUP_IRQn = 42, /*!< USB OTG FS Wakeup through EXTI line interrupt */ + TIM8_BRK_TIM12_IRQn = 43, /*!< TIM8 Break Interrupt and TIM12 global interrupt */ + TIM8_UP_TIM13_IRQn = 44, /*!< TIM8 Update Interrupt and TIM13 global interrupt */ + TIM8_TRG_COM_TIM14_IRQn = 45, /*!< TIM8 Trigger and Commutation Interrupt and TIM14 global interrupt */ + TIM8_CC_IRQn = 46, /*!< TIM8 Capture Compare global interrupt */ + DMA1_Stream7_IRQn = 47, /*!< DMA1 Stream7 Interrupt */ + SDIO_IRQn = 49, /*!< SDIO global Interrupt */ + TIM5_IRQn = 50, /*!< TIM5 global Interrupt */ + SPI3_IRQn = 51, /*!< SPI3 global Interrupt */ + UART4_IRQn = 52, /*!< UART4 global Interrupt */ + UART5_IRQn = 53, /*!< UART5 global Interrupt */ + TIM6_DAC_IRQn = 54, /*!< TIM6 global and DAC1&2 underrun error interrupts */ + TIM7_IRQn = 55, /*!< TIM7 global interrupt */ + DMA2_Stream0_IRQn = 56, /*!< DMA2 Stream 0 global Interrupt */ + DMA2_Stream1_IRQn = 57, /*!< DMA2 Stream 1 global Interrupt */ + DMA2_Stream2_IRQn = 58, /*!< DMA2 Stream 2 global Interrupt */ + DMA2_Stream3_IRQn = 59, /*!< DMA2 Stream 3 global Interrupt */ + DMA2_Stream4_IRQn = 60, /*!< DMA2 Stream 4 global Interrupt */ + DFSDM1_FLT0_IRQn = 61, /*!< DFSDM1 Filter 0 global Interrupt */ + DFSDM1_FLT1_IRQn = 62, /*!< DFSDM1 Filter 1 global Interrupt */ + CAN2_TX_IRQn = 63, /*!< CAN2 TX Interrupt */ + CAN2_RX0_IRQn = 64, /*!< CAN2 RX0 Interrupt */ + CAN2_RX1_IRQn = 65, /*!< CAN2 RX1 Interrupt */ + CAN2_SCE_IRQn = 66, /*!< CAN2 SCE Interrupt */ + OTG_FS_IRQn = 67, /*!< USB OTG FS global Interrupt */ + DMA2_Stream5_IRQn = 68, /*!< DMA2 Stream 5 global interrupt */ + DMA2_Stream6_IRQn = 69, /*!< DMA2 Stream 6 global interrupt */ + DMA2_Stream7_IRQn = 70, /*!< DMA2 Stream 7 global interrupt */ + USART6_IRQn = 71, /*!< USART6 global interrupt */ + I2C3_EV_IRQn = 72, /*!< I2C3 event interrupt */ + I2C3_ER_IRQn = 73, /*!< I2C3 error interrupt */ + CAN3_TX_IRQn = 74, /*!< CAN3 TX Interrupt */ + CAN3_RX0_IRQn = 75, /*!< CAN3 RX0 Interrupt */ + CAN3_RX1_IRQn = 76, /*!< CAN3 RX1 Interrupt */ + CAN3_SCE_IRQn = 77, /*!< CAN3 SCE Interrupt */ + RNG_IRQn = 80, /*!< RNG global Interrupt */ + FPU_IRQn = 81, /*!< FPU global interrupt */ + UART7_IRQn = 82, /*!< UART7 global interrupt */ + UART8_IRQn = 83, /*!< UART8 global interrupt */ + SPI4_IRQn = 84, /*!< SPI4 global Interrupt */ + SPI5_IRQn = 85, /*!< SPI5 global Interrupt */ + SAI1_IRQn = 87, /*!< SAI1 global Interrupt */ + UART9_IRQn = 88, /*!< UART9 global Interrupt */ + UART10_IRQn = 89, /*!< UART10 global Interrupt */ + QUADSPI_IRQn = 92, /*!< QuadSPI global Interrupt */ + FMPI2C1_EV_IRQn = 95, /*!< FMPI2C1 Event Interrupt */ + FMPI2C1_ER_IRQn = 96, /*!< FMPI2C1 Error Interrupt */ + LPTIM1_IRQn = 97, /*!< LP TIM1 interrupt */ + DFSDM2_FLT0_IRQn = 98, /*!< DFSDM2 Filter 0 global Interrupt */ + DFSDM2_FLT1_IRQn = 99, /*!< DFSDM2 Filter 1 global Interrupt */ + DFSDM2_FLT2_IRQn = 100, /*!< DFSDM2 Filter 2 global Interrupt */ + DFSDM2_FLT3_IRQn = 101 /*!< DFSDM2 Filter 3 global Interrupt */ +} IRQn_Type; + +/** + * @} + */ + +#include "core_cm4.h" /* Cortex-M4 processor and core peripherals */ +#include "system_stm32f4xx.h" +#include + +/** @addtogroup Peripheral_registers_structures + * @{ + */ + +/** + * @brief Analog to Digital Converter + */ + +typedef struct +{ + __IO uint32_t SR; /*!< ADC status register, Address offset: 0x00 */ + __IO uint32_t CR1; /*!< ADC control register 1, Address offset: 0x04 */ + __IO uint32_t CR2; /*!< ADC control register 2, Address offset: 0x08 */ + __IO uint32_t SMPR1; /*!< ADC sample time register 1, Address offset: 0x0C */ + __IO uint32_t SMPR2; /*!< ADC sample time register 2, Address offset: 0x10 */ + __IO uint32_t JOFR1; /*!< ADC injected channel data offset register 1, Address offset: 0x14 */ + __IO uint32_t JOFR2; /*!< ADC injected channel data offset register 2, Address offset: 0x18 */ + __IO uint32_t JOFR3; /*!< ADC injected channel data offset register 3, Address offset: 0x1C */ + __IO uint32_t JOFR4; /*!< ADC injected channel data offset register 4, Address offset: 0x20 */ + __IO uint32_t HTR; /*!< ADC watchdog higher threshold register, Address offset: 0x24 */ + __IO uint32_t LTR; /*!< ADC watchdog lower threshold register, Address offset: 0x28 */ + __IO uint32_t SQR1; /*!< ADC regular sequence register 1, Address offset: 0x2C */ + __IO uint32_t SQR2; /*!< ADC regular sequence register 2, Address offset: 0x30 */ + __IO uint32_t SQR3; /*!< ADC regular sequence register 3, Address offset: 0x34 */ + __IO uint32_t JSQR; /*!< ADC injected sequence register, Address offset: 0x38*/ + __IO uint32_t JDR1; /*!< ADC injected data register 1, Address offset: 0x3C */ + __IO uint32_t JDR2; /*!< ADC injected data register 2, Address offset: 0x40 */ + __IO uint32_t JDR3; /*!< ADC injected data register 3, Address offset: 0x44 */ + __IO uint32_t JDR4; /*!< ADC injected data register 4, Address offset: 0x48 */ + __IO uint32_t DR; /*!< ADC regular data register, Address offset: 0x4C */ +} ADC_TypeDef; + +typedef struct +{ + __IO uint32_t CSR; /*!< ADC Common status register, Address offset: ADC1 base address + 0x300 */ + __IO uint32_t CCR; /*!< ADC common control register, Address offset: ADC1 base address + 0x304 */ + __IO uint32_t CDR; /*!< ADC common regular data register for dual + AND triple modes, Address offset: ADC1 base address + 0x308 */ +} ADC_Common_TypeDef; + + +/** + * @brief Controller Area Network TxMailBox + */ + +typedef struct +{ + __IO uint32_t TIR; /*!< CAN TX mailbox identifier register */ + __IO uint32_t TDTR; /*!< CAN mailbox data length control and time stamp register */ + __IO uint32_t TDLR; /*!< CAN mailbox data low register */ + __IO uint32_t TDHR; /*!< CAN mailbox data high register */ +} CAN_TxMailBox_TypeDef; + +/** + * @brief Controller Area Network FIFOMailBox + */ + +typedef struct +{ + __IO uint32_t RIR; /*!< CAN receive FIFO mailbox identifier register */ + __IO uint32_t RDTR; /*!< CAN receive FIFO mailbox data length control and time stamp register */ + __IO uint32_t RDLR; /*!< CAN receive FIFO mailbox data low register */ + __IO uint32_t RDHR; /*!< CAN receive FIFO mailbox data high register */ +} CAN_FIFOMailBox_TypeDef; + +/** + * @brief Controller Area Network FilterRegister + */ + +typedef struct +{ + __IO uint32_t FR1; /*!< CAN Filter bank register 1 */ + __IO uint32_t FR2; /*!< CAN Filter bank register 1 */ +} CAN_FilterRegister_TypeDef; + +/** + * @brief Controller Area Network + */ + +typedef struct +{ + __IO uint32_t MCR; /*!< CAN master control register, Address offset: 0x00 */ + __IO uint32_t MSR; /*!< CAN master status register, Address offset: 0x04 */ + __IO uint32_t TSR; /*!< CAN transmit status register, Address offset: 0x08 */ + __IO uint32_t RF0R; /*!< CAN receive FIFO 0 register, Address offset: 0x0C */ + __IO uint32_t RF1R; /*!< CAN receive FIFO 1 register, Address offset: 0x10 */ + __IO uint32_t IER; /*!< CAN interrupt enable register, Address offset: 0x14 */ + __IO uint32_t ESR; /*!< CAN error status register, Address offset: 0x18 */ + __IO uint32_t BTR; /*!< CAN bit timing register, Address offset: 0x1C */ + uint32_t RESERVED0[88]; /*!< Reserved, 0x020 - 0x17F */ + CAN_TxMailBox_TypeDef sTxMailBox[3]; /*!< CAN Tx MailBox, Address offset: 0x180 - 0x1AC */ + CAN_FIFOMailBox_TypeDef sFIFOMailBox[2]; /*!< CAN FIFO MailBox, Address offset: 0x1B0 - 0x1CC */ + uint32_t RESERVED1[12]; /*!< Reserved, 0x1D0 - 0x1FF */ + __IO uint32_t FMR; /*!< CAN filter master register, Address offset: 0x200 */ + __IO uint32_t FM1R; /*!< CAN filter mode register, Address offset: 0x204 */ + uint32_t RESERVED2; /*!< Reserved, 0x208 */ + __IO uint32_t FS1R; /*!< CAN filter scale register, Address offset: 0x20C */ + uint32_t RESERVED3; /*!< Reserved, 0x210 */ + __IO uint32_t FFA1R; /*!< CAN filter FIFO assignment register, Address offset: 0x214 */ + uint32_t RESERVED4; /*!< Reserved, 0x218 */ + __IO uint32_t FA1R; /*!< CAN filter activation register, Address offset: 0x21C */ + uint32_t RESERVED5[8]; /*!< Reserved, 0x220-0x23F */ + CAN_FilterRegister_TypeDef sFilterRegister[28]; /*!< CAN Filter Register, Address offset: 0x240-0x31C */ +} CAN_TypeDef; + +/** + * @brief CRC calculation unit + */ + +typedef struct +{ + __IO uint32_t DR; /*!< CRC Data register, Address offset: 0x00 */ + __IO uint8_t IDR; /*!< CRC Independent data register, Address offset: 0x04 */ + uint8_t RESERVED0; /*!< Reserved, 0x05 */ + uint16_t RESERVED1; /*!< Reserved, 0x06 */ + __IO uint32_t CR; /*!< CRC Control register, Address offset: 0x08 */ +} CRC_TypeDef; + +/** + * @brief DFSDM module registers + */ +typedef struct +{ + __IO uint32_t FLTCR1; /*!< DFSDM control register1, Address offset: 0x100 */ + __IO uint32_t FLTCR2; /*!< DFSDM control register2, Address offset: 0x104 */ + __IO uint32_t FLTISR; /*!< DFSDM interrupt and status register, Address offset: 0x108 */ + __IO uint32_t FLTICR; /*!< DFSDM interrupt flag clear register, Address offset: 0x10C */ + __IO uint32_t FLTJCHGR; /*!< DFSDM injected channel group selection register, Address offset: 0x110 */ + __IO uint32_t FLTFCR; /*!< DFSDM filter control register, Address offset: 0x114 */ + __IO uint32_t FLTJDATAR; /*!< DFSDM data register for injected group, Address offset: 0x118 */ + __IO uint32_t FLTRDATAR; /*!< DFSDM data register for regular group, Address offset: 0x11C */ + __IO uint32_t FLTAWHTR; /*!< DFSDM analog watchdog high threshold register, Address offset: 0x120 */ + __IO uint32_t FLTAWLTR; /*!< DFSDM analog watchdog low threshold register, Address offset: 0x124 */ + __IO uint32_t FLTAWSR; /*!< DFSDM analog watchdog status register Address offset: 0x128 */ + __IO uint32_t FLTAWCFR; /*!< DFSDM analog watchdog clear flag register Address offset: 0x12C */ + __IO uint32_t FLTEXMAX; /*!< DFSDM extreme detector maximum register, Address offset: 0x130 */ + __IO uint32_t FLTEXMIN; /*!< DFSDM extreme detector minimum register Address offset: 0x134 */ + __IO uint32_t FLTCNVTIMR; /*!< DFSDM conversion timer, Address offset: 0x138 */ +} DFSDM_Filter_TypeDef; + +/** + * @brief DFSDM channel configuration registers + */ +typedef struct +{ + __IO uint32_t CHCFGR1; /*!< DFSDM channel configuration register1, Address offset: 0x00 */ + __IO uint32_t CHCFGR2; /*!< DFSDM channel configuration register2, Address offset: 0x04 */ + __IO uint32_t CHAWSCDR; /*!< DFSDM channel analog watchdog and + short circuit detector register, Address offset: 0x08 */ + __IO uint32_t CHWDATAR; /*!< DFSDM channel watchdog filter data register, Address offset: 0x0C */ + __IO uint32_t CHDATINR; /*!< DFSDM channel data input register, Address offset: 0x10 */ +} DFSDM_Channel_TypeDef; + +/** + * @brief Digital to Analog Converter + */ + +typedef struct +{ + __IO uint32_t CR; /*!< DAC control register, Address offset: 0x00 */ + __IO uint32_t SWTRIGR; /*!< DAC software trigger register, Address offset: 0x04 */ + __IO uint32_t DHR12R1; /*!< DAC channel1 12-bit right-aligned data holding register, Address offset: 0x08 */ + __IO uint32_t DHR12L1; /*!< DAC channel1 12-bit left aligned data holding register, Address offset: 0x0C */ + __IO uint32_t DHR8R1; /*!< DAC channel1 8-bit right aligned data holding register, Address offset: 0x10 */ + __IO uint32_t DHR12R2; /*!< DAC channel2 12-bit right aligned data holding register, Address offset: 0x14 */ + __IO uint32_t DHR12L2; /*!< DAC channel2 12-bit left aligned data holding register, Address offset: 0x18 */ + __IO uint32_t DHR8R2; /*!< DAC channel2 8-bit right-aligned data holding register, Address offset: 0x1C */ + __IO uint32_t DHR12RD; /*!< Dual DAC 12-bit right-aligned data holding register, Address offset: 0x20 */ + __IO uint32_t DHR12LD; /*!< DUAL DAC 12-bit left aligned data holding register, Address offset: 0x24 */ + __IO uint32_t DHR8RD; /*!< DUAL DAC 8-bit right aligned data holding register, Address offset: 0x28 */ + __IO uint32_t DOR1; /*!< DAC channel1 data output register, Address offset: 0x2C */ + __IO uint32_t DOR2; /*!< DAC channel2 data output register, Address offset: 0x30 */ + __IO uint32_t SR; /*!< DAC status register, Address offset: 0x34 */ +} DAC_TypeDef; + +/** + * @brief Debug MCU + */ + +typedef struct +{ + __IO uint32_t IDCODE; /*!< MCU device ID code, Address offset: 0x00 */ + __IO uint32_t CR; /*!< Debug MCU configuration register, Address offset: 0x04 */ + __IO uint32_t APB1FZ; /*!< Debug MCU APB1 freeze register, Address offset: 0x08 */ + __IO uint32_t APB2FZ; /*!< Debug MCU APB2 freeze register, Address offset: 0x0C */ +}DBGMCU_TypeDef; + + +/** + * @brief DMA Controller + */ + +typedef struct +{ + __IO uint32_t CR; /*!< DMA stream x configuration register */ + __IO uint32_t NDTR; /*!< DMA stream x number of data register */ + __IO uint32_t PAR; /*!< DMA stream x peripheral address register */ + __IO uint32_t M0AR; /*!< DMA stream x memory 0 address register */ + __IO uint32_t M1AR; /*!< DMA stream x memory 1 address register */ + __IO uint32_t FCR; /*!< DMA stream x FIFO control register */ +} DMA_Stream_TypeDef; + +typedef struct +{ + __IO uint32_t LISR; /*!< DMA low interrupt status register, Address offset: 0x00 */ + __IO uint32_t HISR; /*!< DMA high interrupt status register, Address offset: 0x04 */ + __IO uint32_t LIFCR; /*!< DMA low interrupt flag clear register, Address offset: 0x08 */ + __IO uint32_t HIFCR; /*!< DMA high interrupt flag clear register, Address offset: 0x0C */ +} DMA_TypeDef; + +/** + * @brief External Interrupt/Event Controller + */ + +typedef struct +{ + __IO uint32_t IMR; /*!< EXTI Interrupt mask register, Address offset: 0x00 */ + __IO uint32_t EMR; /*!< EXTI Event mask register, Address offset: 0x04 */ + __IO uint32_t RTSR; /*!< EXTI Rising trigger selection register, Address offset: 0x08 */ + __IO uint32_t FTSR; /*!< EXTI Falling trigger selection register, Address offset: 0x0C */ + __IO uint32_t SWIER; /*!< EXTI Software interrupt event register, Address offset: 0x10 */ + __IO uint32_t PR; /*!< EXTI Pending register, Address offset: 0x14 */ +} EXTI_TypeDef; + +/** + * @brief FLASH Registers + */ + +typedef struct +{ + __IO uint32_t ACR; /*!< FLASH access control register, Address offset: 0x00 */ + __IO uint32_t KEYR; /*!< FLASH key register, Address offset: 0x04 */ + __IO uint32_t OPTKEYR; /*!< FLASH option key register, Address offset: 0x08 */ + __IO uint32_t SR; /*!< FLASH status register, Address offset: 0x0C */ + __IO uint32_t CR; /*!< FLASH control register, Address offset: 0x10 */ + __IO uint32_t OPTCR; /*!< FLASH option control register , Address offset: 0x14 */ + __IO uint32_t OPTCR1; /*!< FLASH option control register 1, Address offset: 0x18 */ +} FLASH_TypeDef; + + + +/** + * @brief Flexible Static Memory Controller + */ + +typedef struct +{ + __IO uint32_t BTCR[8]; /*!< NOR/PSRAM chip-select control register(BCR) and chip-select timing register(BTR), Address offset: 0x00-1C */ +} FSMC_Bank1_TypeDef; + +/** + * @brief Flexible Static Memory Controller Bank1E + */ + +typedef struct +{ + __IO uint32_t BWTR[7]; /*!< NOR/PSRAM write timing registers, Address offset: 0x104-0x11C */ +} FSMC_Bank1E_TypeDef; +/** + * @brief General Purpose I/O + */ + +typedef struct +{ + __IO uint32_t MODER; /*!< GPIO port mode register, Address offset: 0x00 */ + __IO uint32_t OTYPER; /*!< GPIO port output type register, Address offset: 0x04 */ + __IO uint32_t OSPEEDR; /*!< GPIO port output speed register, Address offset: 0x08 */ + __IO uint32_t PUPDR; /*!< GPIO port pull-up/pull-down register, Address offset: 0x0C */ + __IO uint32_t IDR; /*!< GPIO port input data register, Address offset: 0x10 */ + __IO uint32_t ODR; /*!< GPIO port output data register, Address offset: 0x14 */ + __IO uint32_t BSRR; /*!< GPIO port bit set/reset register, Address offset: 0x18 */ + __IO uint32_t LCKR; /*!< GPIO port configuration lock register, Address offset: 0x1C */ + __IO uint32_t AFR[2]; /*!< GPIO alternate function registers, Address offset: 0x20-0x24 */ +} GPIO_TypeDef; + +/** + * @brief System configuration controller + */ + +typedef struct +{ + __IO uint32_t MEMRMP; /*!< SYSCFG memory remap register, Address offset: 0x00 */ + __IO uint32_t PMC; /*!< SYSCFG peripheral mode configuration register, Address offset: 0x04 */ + __IO uint32_t EXTICR[4]; /*!< SYSCFG external interrupt configuration registers, Address offset: 0x08-0x14 */ + uint32_t RESERVED; /*!< Reserved, 0x18 */ + __IO uint32_t CFGR2; /*!< SYSCFG Configuration register2, Address offset: 0x1C */ + __IO uint32_t CMPCR; /*!< SYSCFG Compensation cell control register, Address offset: 0x20 */ + uint32_t RESERVED1[2]; /*!< Reserved, 0x24-0x28 */ + __IO uint32_t CFGR; /*!< SYSCFG Configuration register, Address offset: 0x2C */ + __IO uint32_t MCHDLYCR; /*!< SYSCFG multi-channel delay register, Address offset: 0x30 */ +} SYSCFG_TypeDef; + +/** + * @brief Inter-integrated Circuit Interface + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< I2C Control register 1, Address offset: 0x00 */ + __IO uint32_t CR2; /*!< I2C Control register 2, Address offset: 0x04 */ + __IO uint32_t OAR1; /*!< I2C Own address register 1, Address offset: 0x08 */ + __IO uint32_t OAR2; /*!< I2C Own address register 2, Address offset: 0x0C */ + __IO uint32_t DR; /*!< I2C Data register, Address offset: 0x10 */ + __IO uint32_t SR1; /*!< I2C Status register 1, Address offset: 0x14 */ + __IO uint32_t SR2; /*!< I2C Status register 2, Address offset: 0x18 */ + __IO uint32_t CCR; /*!< I2C Clock control register, Address offset: 0x1C */ + __IO uint32_t TRISE; /*!< I2C TRISE register, Address offset: 0x20 */ + __IO uint32_t FLTR; /*!< I2C FLTR register, Address offset: 0x24 */ +} I2C_TypeDef; + +/** + * @brief Inter-integrated Circuit Interface + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< FMPI2C Control register 1, Address offset: 0x00 */ + __IO uint32_t CR2; /*!< FMPI2C Control register 2, Address offset: 0x04 */ + __IO uint32_t OAR1; /*!< FMPI2C Own address 1 register, Address offset: 0x08 */ + __IO uint32_t OAR2; /*!< FMPI2C Own address 2 register, Address offset: 0x0C */ + __IO uint32_t TIMINGR; /*!< FMPI2C Timing register, Address offset: 0x10 */ + __IO uint32_t TIMEOUTR; /*!< FMPI2C Timeout register, Address offset: 0x14 */ + __IO uint32_t ISR; /*!< FMPI2C Interrupt and status register, Address offset: 0x18 */ + __IO uint32_t ICR; /*!< FMPI2C Interrupt clear register, Address offset: 0x1C */ + __IO uint32_t PECR; /*!< FMPI2C PEC register, Address offset: 0x20 */ + __IO uint32_t RXDR; /*!< FMPI2C Receive data register, Address offset: 0x24 */ + __IO uint32_t TXDR; /*!< FMPI2C Transmit data register, Address offset: 0x28 */ +} FMPI2C_TypeDef; + +/** + * @brief Independent WATCHDOG + */ + +typedef struct +{ + __IO uint32_t KR; /*!< IWDG Key register, Address offset: 0x00 */ + __IO uint32_t PR; /*!< IWDG Prescaler register, Address offset: 0x04 */ + __IO uint32_t RLR; /*!< IWDG Reload register, Address offset: 0x08 */ + __IO uint32_t SR; /*!< IWDG Status register, Address offset: 0x0C */ +} IWDG_TypeDef; + + +/** + * @brief Power Control + */ + +typedef struct +{ + __IO uint32_t CR; /*!< PWR power control register, Address offset: 0x00 */ + __IO uint32_t CSR; /*!< PWR power control/status register, Address offset: 0x04 */ +} PWR_TypeDef; + +/** + * @brief Reset and Clock Control + */ + +typedef struct +{ + __IO uint32_t CR; /*!< RCC clock control register, Address offset: 0x00 */ + __IO uint32_t PLLCFGR; /*!< RCC PLL configuration register, Address offset: 0x04 */ + __IO uint32_t CFGR; /*!< RCC clock configuration register, Address offset: 0x08 */ + __IO uint32_t CIR; /*!< RCC clock interrupt register, Address offset: 0x0C */ + __IO uint32_t AHB1RSTR; /*!< RCC AHB1 peripheral reset register, Address offset: 0x10 */ + __IO uint32_t AHB2RSTR; /*!< RCC AHB2 peripheral reset register, Address offset: 0x14 */ + __IO uint32_t AHB3RSTR; /*!< RCC AHB3 peripheral reset register, Address offset: 0x18 */ + uint32_t RESERVED0; /*!< Reserved, 0x1C */ + __IO uint32_t APB1RSTR; /*!< RCC APB1 peripheral reset register, Address offset: 0x20 */ + __IO uint32_t APB2RSTR; /*!< RCC APB2 peripheral reset register, Address offset: 0x24 */ + uint32_t RESERVED1[2]; /*!< Reserved, 0x28-0x2C */ + __IO uint32_t AHB1ENR; /*!< RCC AHB1 peripheral clock register, Address offset: 0x30 */ + __IO uint32_t AHB2ENR; /*!< RCC AHB2 peripheral clock register, Address offset: 0x34 */ + __IO uint32_t AHB3ENR; /*!< RCC AHB3 peripheral clock register, Address offset: 0x38 */ + uint32_t RESERVED2; /*!< Reserved, 0x3C */ + __IO uint32_t APB1ENR; /*!< RCC APB1 peripheral clock enable register, Address offset: 0x40 */ + __IO uint32_t APB2ENR; /*!< RCC APB2 peripheral clock enable register, Address offset: 0x44 */ + uint32_t RESERVED3[2]; /*!< Reserved, 0x48-0x4C */ + __IO uint32_t AHB1LPENR; /*!< RCC AHB1 peripheral clock enable in low power mode register, Address offset: 0x50 */ + __IO uint32_t AHB2LPENR; /*!< RCC AHB2 peripheral clock enable in low power mode register, Address offset: 0x54 */ + __IO uint32_t AHB3LPENR; /*!< RCC AHB3 peripheral clock enable in low power mode register, Address offset: 0x58 */ + uint32_t RESERVED4; /*!< Reserved, 0x5C */ + __IO uint32_t APB1LPENR; /*!< RCC APB1 peripheral clock enable in low power mode register, Address offset: 0x60 */ + __IO uint32_t APB2LPENR; /*!< RCC APB2 peripheral clock enable in low power mode register, Address offset: 0x64 */ + uint32_t RESERVED5[2]; /*!< Reserved, 0x68-0x6C */ + __IO uint32_t BDCR; /*!< RCC Backup domain control register, Address offset: 0x70 */ + __IO uint32_t CSR; /*!< RCC clock control & status register, Address offset: 0x74 */ + uint32_t RESERVED6[2]; /*!< Reserved, 0x78-0x7C */ + __IO uint32_t SSCGR; /*!< RCC spread spectrum clock generation register, Address offset: 0x80 */ + __IO uint32_t PLLI2SCFGR; /*!< RCC PLLI2S configuration register, Address offset: 0x84 */ + uint32_t RESERVED7; /*!< Reserved, 0x84 */ + __IO uint32_t DCKCFGR; /*!< RCC Dedicated Clocks configuration register, Address offset: 0x8C */ + __IO uint32_t CKGATENR; /*!< RCC Clocks Gated ENable Register, Address offset: 0x90 */ + __IO uint32_t DCKCFGR2; /*!< RCC Dedicated Clocks configuration register 2, Address offset: 0x94 */ +} RCC_TypeDef; + +/** + * @brief Real-Time Clock + */ + +typedef struct +{ + __IO uint32_t TR; /*!< RTC time register, Address offset: 0x00 */ + __IO uint32_t DR; /*!< RTC date register, Address offset: 0x04 */ + __IO uint32_t CR; /*!< RTC control register, Address offset: 0x08 */ + __IO uint32_t ISR; /*!< RTC initialization and status register, Address offset: 0x0C */ + __IO uint32_t PRER; /*!< RTC prescaler register, Address offset: 0x10 */ + __IO uint32_t WUTR; /*!< RTC wakeup timer register, Address offset: 0x14 */ + __IO uint32_t CALIBR; /*!< RTC calibration register, Address offset: 0x18 */ + __IO uint32_t ALRMAR; /*!< RTC alarm A register, Address offset: 0x1C */ + __IO uint32_t ALRMBR; /*!< RTC alarm B register, Address offset: 0x20 */ + __IO uint32_t WPR; /*!< RTC write protection register, Address offset: 0x24 */ + __IO uint32_t SSR; /*!< RTC sub second register, Address offset: 0x28 */ + __IO uint32_t SHIFTR; /*!< RTC shift control register, Address offset: 0x2C */ + __IO uint32_t TSTR; /*!< RTC time stamp time register, Address offset: 0x30 */ + __IO uint32_t TSDR; /*!< RTC time stamp date register, Address offset: 0x34 */ + __IO uint32_t TSSSR; /*!< RTC time-stamp sub second register, Address offset: 0x38 */ + __IO uint32_t CALR; /*!< RTC calibration register, Address offset: 0x3C */ + __IO uint32_t TAFCR; /*!< RTC tamper and alternate function configuration register, Address offset: 0x40 */ + __IO uint32_t ALRMASSR;/*!< RTC alarm A sub second register, Address offset: 0x44 */ + __IO uint32_t ALRMBSSR;/*!< RTC alarm B sub second register, Address offset: 0x48 */ + uint32_t RESERVED7; /*!< Reserved, 0x4C */ + __IO uint32_t BKP0R; /*!< RTC backup register 1, Address offset: 0x50 */ + __IO uint32_t BKP1R; /*!< RTC backup register 1, Address offset: 0x54 */ + __IO uint32_t BKP2R; /*!< RTC backup register 2, Address offset: 0x58 */ + __IO uint32_t BKP3R; /*!< RTC backup register 3, Address offset: 0x5C */ + __IO uint32_t BKP4R; /*!< RTC backup register 4, Address offset: 0x60 */ + __IO uint32_t BKP5R; /*!< RTC backup register 5, Address offset: 0x64 */ + __IO uint32_t BKP6R; /*!< RTC backup register 6, Address offset: 0x68 */ + __IO uint32_t BKP7R; /*!< RTC backup register 7, Address offset: 0x6C */ + __IO uint32_t BKP8R; /*!< RTC backup register 8, Address offset: 0x70 */ + __IO uint32_t BKP9R; /*!< RTC backup register 9, Address offset: 0x74 */ + __IO uint32_t BKP10R; /*!< RTC backup register 10, Address offset: 0x78 */ + __IO uint32_t BKP11R; /*!< RTC backup register 11, Address offset: 0x7C */ + __IO uint32_t BKP12R; /*!< RTC backup register 12, Address offset: 0x80 */ + __IO uint32_t BKP13R; /*!< RTC backup register 13, Address offset: 0x84 */ + __IO uint32_t BKP14R; /*!< RTC backup register 14, Address offset: 0x88 */ + __IO uint32_t BKP15R; /*!< RTC backup register 15, Address offset: 0x8C */ + __IO uint32_t BKP16R; /*!< RTC backup register 16, Address offset: 0x90 */ + __IO uint32_t BKP17R; /*!< RTC backup register 17, Address offset: 0x94 */ + __IO uint32_t BKP18R; /*!< RTC backup register 18, Address offset: 0x98 */ + __IO uint32_t BKP19R; /*!< RTC backup register 19, Address offset: 0x9C */ +} RTC_TypeDef; + +/** + * @brief Serial Audio Interface + */ + +typedef struct +{ + __IO uint32_t GCR; /*!< SAI global configuration register, Address offset: 0x00 */ +} SAI_TypeDef; + +typedef struct +{ + __IO uint32_t CR1; /*!< SAI block x configuration register 1, Address offset: 0x04 */ + __IO uint32_t CR2; /*!< SAI block x configuration register 2, Address offset: 0x08 */ + __IO uint32_t FRCR; /*!< SAI block x frame configuration register, Address offset: 0x0C */ + __IO uint32_t SLOTR; /*!< SAI block x slot register, Address offset: 0x10 */ + __IO uint32_t IMR; /*!< SAI block x interrupt mask register, Address offset: 0x14 */ + __IO uint32_t SR; /*!< SAI block x status register, Address offset: 0x18 */ + __IO uint32_t CLRFR; /*!< SAI block x clear flag register, Address offset: 0x1C */ + __IO uint32_t DR; /*!< SAI block x data register, Address offset: 0x20 */ +} SAI_Block_TypeDef; + +/** + * @brief SD host Interface + */ + +typedef struct +{ + __IO uint32_t POWER; /*!< SDIO power control register, Address offset: 0x00 */ + __IO uint32_t CLKCR; /*!< SDI clock control register, Address offset: 0x04 */ + __IO uint32_t ARG; /*!< SDIO argument register, Address offset: 0x08 */ + __IO uint32_t CMD; /*!< SDIO command register, Address offset: 0x0C */ + __IO const uint32_t RESPCMD; /*!< SDIO command response register, Address offset: 0x10 */ + __IO const uint32_t RESP1; /*!< SDIO response 1 register, Address offset: 0x14 */ + __IO const uint32_t RESP2; /*!< SDIO response 2 register, Address offset: 0x18 */ + __IO const uint32_t RESP3; /*!< SDIO response 3 register, Address offset: 0x1C */ + __IO const uint32_t RESP4; /*!< SDIO response 4 register, Address offset: 0x20 */ + __IO uint32_t DTIMER; /*!< SDIO data timer register, Address offset: 0x24 */ + __IO uint32_t DLEN; /*!< SDIO data length register, Address offset: 0x28 */ + __IO uint32_t DCTRL; /*!< SDIO data control register, Address offset: 0x2C */ + __IO const uint32_t DCOUNT; /*!< SDIO data counter register, Address offset: 0x30 */ + __IO const uint32_t STA; /*!< SDIO status register, Address offset: 0x34 */ + __IO uint32_t ICR; /*!< SDIO interrupt clear register, Address offset: 0x38 */ + __IO uint32_t MASK; /*!< SDIO mask register, Address offset: 0x3C */ + uint32_t RESERVED0[2]; /*!< Reserved, 0x40-0x44 */ + __IO const uint32_t FIFOCNT; /*!< SDIO FIFO counter register, Address offset: 0x48 */ + uint32_t RESERVED1[13]; /*!< Reserved, 0x4C-0x7C */ + __IO uint32_t FIFO; /*!< SDIO data FIFO register, Address offset: 0x80 */ +} SDIO_TypeDef; + +/** + * @brief Serial Peripheral Interface + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< SPI control register 1 (not used in I2S mode), Address offset: 0x00 */ + __IO uint32_t CR2; /*!< SPI control register 2, Address offset: 0x04 */ + __IO uint32_t SR; /*!< SPI status register, Address offset: 0x08 */ + __IO uint32_t DR; /*!< SPI data register, Address offset: 0x0C */ + __IO uint32_t CRCPR; /*!< SPI CRC polynomial register (not used in I2S mode), Address offset: 0x10 */ + __IO uint32_t RXCRCR; /*!< SPI RX CRC register (not used in I2S mode), Address offset: 0x14 */ + __IO uint32_t TXCRCR; /*!< SPI TX CRC register (not used in I2S mode), Address offset: 0x18 */ + __IO uint32_t I2SCFGR; /*!< SPI_I2S configuration register, Address offset: 0x1C */ + __IO uint32_t I2SPR; /*!< SPI_I2S prescaler register, Address offset: 0x20 */ +} SPI_TypeDef; + +/** + * @brief QUAD Serial Peripheral Interface + */ + +typedef struct +{ + __IO uint32_t CR; /*!< QUADSPI Control register, Address offset: 0x00 */ + __IO uint32_t DCR; /*!< QUADSPI Device Configuration register, Address offset: 0x04 */ + __IO uint32_t SR; /*!< QUADSPI Status register, Address offset: 0x08 */ + __IO uint32_t FCR; /*!< QUADSPI Flag Clear register, Address offset: 0x0C */ + __IO uint32_t DLR; /*!< QUADSPI Data Length register, Address offset: 0x10 */ + __IO uint32_t CCR; /*!< QUADSPI Communication Configuration register, Address offset: 0x14 */ + __IO uint32_t AR; /*!< QUADSPI Address register, Address offset: 0x18 */ + __IO uint32_t ABR; /*!< QUADSPI Alternate Bytes register, Address offset: 0x1C */ + __IO uint32_t DR; /*!< QUADSPI Data register, Address offset: 0x20 */ + __IO uint32_t PSMKR; /*!< QUADSPI Polling Status Mask register, Address offset: 0x24 */ + __IO uint32_t PSMAR; /*!< QUADSPI Polling Status Match register, Address offset: 0x28 */ + __IO uint32_t PIR; /*!< QUADSPI Polling Interval register, Address offset: 0x2C */ + __IO uint32_t LPTR; /*!< QUADSPI Low Power Timeout register, Address offset: 0x30 */ +} QUADSPI_TypeDef; + +/** + * @brief TIM + */ + +typedef struct +{ + __IO uint32_t CR1; /*!< TIM control register 1, Address offset: 0x00 */ + __IO uint32_t CR2; /*!< TIM control register 2, Address offset: 0x04 */ + __IO uint32_t SMCR; /*!< TIM slave mode control register, Address offset: 0x08 */ + __IO uint32_t DIER; /*!< TIM DMA/interrupt enable register, Address offset: 0x0C */ + __IO uint32_t SR; /*!< TIM status register, Address offset: 0x10 */ + __IO uint32_t EGR; /*!< TIM event generation register, Address offset: 0x14 */ + __IO uint32_t CCMR1; /*!< TIM capture/compare mode register 1, Address offset: 0x18 */ + __IO uint32_t CCMR2; /*!< TIM capture/compare mode register 2, Address offset: 0x1C */ + __IO uint32_t CCER; /*!< TIM capture/compare enable register, Address offset: 0x20 */ + __IO uint32_t CNT; /*!< TIM counter register, Address offset: 0x24 */ + __IO uint32_t PSC; /*!< TIM prescaler, Address offset: 0x28 */ + __IO uint32_t ARR; /*!< TIM auto-reload register, Address offset: 0x2C */ + __IO uint32_t RCR; /*!< TIM repetition counter register, Address offset: 0x30 */ + __IO uint32_t CCR1; /*!< TIM capture/compare register 1, Address offset: 0x34 */ + __IO uint32_t CCR2; /*!< TIM capture/compare register 2, Address offset: 0x38 */ + __IO uint32_t CCR3; /*!< TIM capture/compare register 3, Address offset: 0x3C */ + __IO uint32_t CCR4; /*!< TIM capture/compare register 4, Address offset: 0x40 */ + __IO uint32_t BDTR; /*!< TIM break and dead-time register, Address offset: 0x44 */ + __IO uint32_t DCR; /*!< TIM DMA control register, Address offset: 0x48 */ + __IO uint32_t DMAR; /*!< TIM DMA address for full transfer, Address offset: 0x4C */ + __IO uint32_t OR; /*!< TIM option register, Address offset: 0x50 */ +} TIM_TypeDef; + +/** + * @brief Universal Synchronous Asynchronous Receiver Transmitter + */ + +typedef struct +{ + __IO uint32_t SR; /*!< USART Status register, Address offset: 0x00 */ + __IO uint32_t DR; /*!< USART Data register, Address offset: 0x04 */ + __IO uint32_t BRR; /*!< USART Baud rate register, Address offset: 0x08 */ + __IO uint32_t CR1; /*!< USART Control register 1, Address offset: 0x0C */ + __IO uint32_t CR2; /*!< USART Control register 2, Address offset: 0x10 */ + __IO uint32_t CR3; /*!< USART Control register 3, Address offset: 0x14 */ + __IO uint32_t GTPR; /*!< USART Guard time and prescaler register, Address offset: 0x18 */ +} USART_TypeDef; + +/** + * @brief Window WATCHDOG + */ + +typedef struct +{ + __IO uint32_t CR; /*!< WWDG Control register, Address offset: 0x00 */ + __IO uint32_t CFR; /*!< WWDG Configuration register, Address offset: 0x04 */ + __IO uint32_t SR; /*!< WWDG Status register, Address offset: 0x08 */ +} WWDG_TypeDef; + +/** + * @brief RNG + */ + +typedef struct +{ + __IO uint32_t CR; /*!< RNG control register, Address offset: 0x00 */ + __IO uint32_t SR; /*!< RNG status register, Address offset: 0x04 */ + __IO uint32_t DR; /*!< RNG data register, Address offset: 0x08 */ +} RNG_TypeDef; + +/** + * @brief USB_OTG_Core_Registers + */ +typedef struct +{ + __IO uint32_t GOTGCTL; /*!< USB_OTG Control and Status Register 000h */ + __IO uint32_t GOTGINT; /*!< USB_OTG Interrupt Register 004h */ + __IO uint32_t GAHBCFG; /*!< Core AHB Configuration Register 008h */ + __IO uint32_t GUSBCFG; /*!< Core USB Configuration Register 00Ch */ + __IO uint32_t GRSTCTL; /*!< Core Reset Register 010h */ + __IO uint32_t GINTSTS; /*!< Core Interrupt Register 014h */ + __IO uint32_t GINTMSK; /*!< Core Interrupt Mask Register 018h */ + __IO uint32_t GRXSTSR; /*!< Receive Sts Q Read Register 01Ch */ + __IO uint32_t GRXSTSP; /*!< Receive Sts Q Read & POP Register 020h */ + __IO uint32_t GRXFSIZ; /*!< Receive FIFO Size Register 024h */ + __IO uint32_t DIEPTXF0_HNPTXFSIZ; /*!< EP0 / Non Periodic Tx FIFO Size Register 028h */ + __IO uint32_t HNPTXSTS; /*!< Non Periodic Tx FIFO/Queue Sts reg 02Ch */ + uint32_t Reserved30[2]; /*!< Reserved 030h */ + __IO uint32_t GCCFG; /*!< General Purpose IO Register 038h */ + __IO uint32_t CID; /*!< User ID Register 03Ch */ + uint32_t Reserved5[3]; /*!< Reserved 040h-048h */ + __IO uint32_t GHWCFG3; /*!< User HW config3 04Ch */ + uint32_t Reserved6; /*!< Reserved 050h */ + __IO uint32_t GLPMCFG; /*!< LPM Register 054h */ + uint32_t Reserved; /*!< Reserved 058h */ + __IO uint32_t GDFIFOCFG; /*!< DFIFO Software Config Register 05Ch */ + uint32_t Reserved43[40]; /*!< Reserved 058h-0FFh */ + __IO uint32_t HPTXFSIZ; /*!< Host Periodic Tx FIFO Size Reg 100h */ + __IO uint32_t DIEPTXF[0x0F]; /*!< dev Periodic Transmit FIFO */ +} USB_OTG_GlobalTypeDef; + +/** + * @brief USB_OTG_device_Registers + */ +typedef struct +{ + __IO uint32_t DCFG; /*!< dev Configuration Register 800h */ + __IO uint32_t DCTL; /*!< dev Control Register 804h */ + __IO uint32_t DSTS; /*!< dev Status Register (RO) 808h */ + uint32_t Reserved0C; /*!< Reserved 80Ch */ + __IO uint32_t DIEPMSK; /*!< dev IN Endpoint Mask 810h */ + __IO uint32_t DOEPMSK; /*!< dev OUT Endpoint Mask 814h */ + __IO uint32_t DAINT; /*!< dev All Endpoints Itr Reg 818h */ + __IO uint32_t DAINTMSK; /*!< dev All Endpoints Itr Mask 81Ch */ + uint32_t Reserved20; /*!< Reserved 820h */ + uint32_t Reserved9; /*!< Reserved 824h */ + __IO uint32_t DVBUSDIS; /*!< dev VBUS discharge Register 828h */ + __IO uint32_t DVBUSPULSE; /*!< dev VBUS Pulse Register 82Ch */ + __IO uint32_t DTHRCTL; /*!< dev threshold 830h */ + __IO uint32_t DIEPEMPMSK; /*!< dev empty msk 834h */ + __IO uint32_t DEACHINT; /*!< dedicated EP interrupt 838h */ + __IO uint32_t DEACHMSK; /*!< dedicated EP msk 83Ch */ + uint32_t Reserved40; /*!< dedicated EP mask 840h */ + __IO uint32_t DINEP1MSK; /*!< dedicated EP mask 844h */ + uint32_t Reserved44[15]; /*!< Reserved 844-87Ch */ + __IO uint32_t DOUTEP1MSK; /*!< dedicated EP msk 884h */ +} USB_OTG_DeviceTypeDef; + +/** + * @brief USB_OTG_IN_Endpoint-Specific_Register + */ +typedef struct +{ + __IO uint32_t DIEPCTL; /*!< dev IN Endpoint Control Reg 900h + (ep_num * 20h) + 00h */ + uint32_t Reserved04; /*!< Reserved 900h + (ep_num * 20h) + 04h */ + __IO uint32_t DIEPINT; /*!< dev IN Endpoint Itr Reg 900h + (ep_num * 20h) + 08h */ + uint32_t Reserved0C; /*!< Reserved 900h + (ep_num * 20h) + 0Ch */ + __IO uint32_t DIEPTSIZ; /*!< IN Endpoint Txfer Size 900h + (ep_num * 20h) + 10h */ + __IO uint32_t DIEPDMA; /*!< IN Endpoint DMA Address Reg 900h + (ep_num * 20h) + 14h */ + __IO uint32_t DTXFSTS; /*!< IN Endpoint Tx FIFO Status Reg 900h + (ep_num * 20h) + 18h */ + uint32_t Reserved18; /*!< Reserved 900h+(ep_num*20h)+1Ch-900h+ (ep_num * 20h) + 1Ch */ +} USB_OTG_INEndpointTypeDef; + +/** + * @brief USB_OTG_OUT_Endpoint-Specific_Registers + */ +typedef struct +{ + __IO uint32_t DOEPCTL; /*!< dev OUT Endpoint Control Reg B00h + (ep_num * 20h) + 00h */ + uint32_t Reserved04; /*!< Reserved B00h + (ep_num * 20h) + 04h */ + __IO uint32_t DOEPINT; /*!< dev OUT Endpoint Itr Reg B00h + (ep_num * 20h) + 08h */ + uint32_t Reserved0C; /*!< Reserved B00h + (ep_num * 20h) + 0Ch */ + __IO uint32_t DOEPTSIZ; /*!< dev OUT Endpoint Txfer Size B00h + (ep_num * 20h) + 10h */ + __IO uint32_t DOEPDMA; /*!< dev OUT Endpoint DMA Address B00h + (ep_num * 20h) + 14h */ + uint32_t Reserved18[2]; /*!< Reserved B00h + (ep_num * 20h) + 18h - B00h + (ep_num * 20h) + 1Ch */ +} USB_OTG_OUTEndpointTypeDef; + +/** + * @brief USB_OTG_Host_Mode_Register_Structures + */ +typedef struct +{ + __IO uint32_t HCFG; /*!< Host Configuration Register 400h */ + __IO uint32_t HFIR; /*!< Host Frame Interval Register 404h */ + __IO uint32_t HFNUM; /*!< Host Frame Nbr/Frame Remaining 408h */ + uint32_t Reserved40C; /*!< Reserved 40Ch */ + __IO uint32_t HPTXSTS; /*!< Host Periodic Tx FIFO/ Queue Status 410h */ + __IO uint32_t HAINT; /*!< Host All Channels Interrupt Register 414h */ + __IO uint32_t HAINTMSK; /*!< Host All Channels Interrupt Mask 418h */ +} USB_OTG_HostTypeDef; + +/** + * @brief USB_OTG_Host_Channel_Specific_Registers + */ +typedef struct +{ + __IO uint32_t HCCHAR; /*!< Host Channel Characteristics Register 500h */ + __IO uint32_t HCSPLT; /*!< Host Channel Split Control Register 504h */ + __IO uint32_t HCINT; /*!< Host Channel Interrupt Register 508h */ + __IO uint32_t HCINTMSK; /*!< Host Channel Interrupt Mask Register 50Ch */ + __IO uint32_t HCTSIZ; /*!< Host Channel Transfer Size Register 510h */ + __IO uint32_t HCDMA; /*!< Host Channel DMA Address Register 514h */ + uint32_t Reserved[2]; /*!< Reserved */ +} USB_OTG_HostChannelTypeDef; + +/** + * @brief LPTIMER + */ +typedef struct +{ + __IO uint32_t ISR; /*!< LPTIM Interrupt and Status register, Address offset: 0x00 */ + __IO uint32_t ICR; /*!< LPTIM Interrupt Clear register, Address offset: 0x04 */ + __IO uint32_t IER; /*!< LPTIM Interrupt Enable register, Address offset: 0x08 */ + __IO uint32_t CFGR; /*!< LPTIM Configuration register, Address offset: 0x0C */ + __IO uint32_t CR; /*!< LPTIM Control register, Address offset: 0x10 */ + __IO uint32_t CMP; /*!< LPTIM Compare register, Address offset: 0x14 */ + __IO uint32_t ARR; /*!< LPTIM Autoreload register, Address offset: 0x18 */ + __IO uint32_t CNT; /*!< LPTIM Counter register, Address offset: 0x1C */ + __IO uint32_t OR; /*!< LPTIM Option register, Address offset: 0x20 */ +} LPTIM_TypeDef; + +/** + * @} + */ + +/** @addtogroup Peripheral_memory_map + * @{ + */ +#define FLASH_BASE 0x08000000U /*!< FLASH (up to 1.5 MB) base address in the alias region */ +#define SRAM1_BASE 0x20000000U /*!< SRAM1(256 KB) base address in the alias region */ +#define SRAM2_BASE 0x20040000U /*!< SRAM2(64 KB) base address in the alias region */ +#define PERIPH_BASE 0x40000000U /*!< Peripheral base address in the alias region */ +#define FSMC_R_BASE 0xA0000000U /*!< FSMC registers base address */ +#define QSPI_R_BASE 0xA0001000U /*!< QuadSPI registers base address */ +#define SRAM1_BB_BASE 0x22000000U /*!< SRAM1(256 KB) base address in the bit-band region */ +#define SRAM2_BB_BASE 0x22800000U /*!< SRAM2(64 KB) base address in the bit-band region */ +#define PERIPH_BB_BASE 0x42000000U /*!< Peripheral base address in the bit-band region */ +#define FLASH_END 0x0817FFFFU /*!< FLASH end address */ + +/* Legacy defines */ +#define SRAM_BASE SRAM1_BASE +#define SRAM_BB_BASE SRAM1_BB_BASE + + +/*!< Peripheral memory map */ +#define APB1PERIPH_BASE PERIPH_BASE +#define APB2PERIPH_BASE (PERIPH_BASE + 0x00010000U) +#define AHB1PERIPH_BASE (PERIPH_BASE + 0x00020000U) +#define AHB2PERIPH_BASE (PERIPH_BASE + 0x10000000U) + +/*!< APB1 peripherals */ +#define TIM2_BASE (APB1PERIPH_BASE + 0x0000U) +#define TIM3_BASE (APB1PERIPH_BASE + 0x0400U) +#define TIM4_BASE (APB1PERIPH_BASE + 0x0800U) +#define TIM5_BASE (APB1PERIPH_BASE + 0x0C00U) +#define TIM6_BASE (APB1PERIPH_BASE + 0x1000U) +#define TIM7_BASE (APB1PERIPH_BASE + 0x1400U) +#define TIM12_BASE (APB1PERIPH_BASE + 0x1800U) +#define TIM13_BASE (APB1PERIPH_BASE + 0x1C00U) +#define TIM14_BASE (APB1PERIPH_BASE + 0x2000U) +#define LPTIM1_BASE (APB1PERIPH_BASE + 0x2400U) +#define RTC_BASE (APB1PERIPH_BASE + 0x2800U) +#define WWDG_BASE (APB1PERIPH_BASE + 0x2C00U) +#define IWDG_BASE (APB1PERIPH_BASE + 0x3000U) +#define I2S2ext_BASE (APB1PERIPH_BASE + 0x3400U) +#define SPI2_BASE (APB1PERIPH_BASE + 0x3800U) +#define SPI3_BASE (APB1PERIPH_BASE + 0x3C00U) +#define I2S3ext_BASE (APB1PERIPH_BASE + 0x4000U) +#define USART2_BASE (APB1PERIPH_BASE + 0x4400U) +#define USART3_BASE (APB1PERIPH_BASE + 0x4800U) +#define UART4_BASE (APB1PERIPH_BASE + 0x4C00U) +#define UART5_BASE (APB1PERIPH_BASE + 0x5000U) +#define I2C1_BASE (APB1PERIPH_BASE + 0x5400U) +#define I2C2_BASE (APB1PERIPH_BASE + 0x5800U) +#define I2C3_BASE (APB1PERIPH_BASE + 0x5C00U) +#define FMPI2C1_BASE (APB1PERIPH_BASE + 0x6000U) +#define CAN1_BASE (APB1PERIPH_BASE + 0x6400U) +#define CAN2_BASE (APB1PERIPH_BASE + 0x6800U) +#define CAN3_BASE (APB1PERIPH_BASE + 0x6C00U) +#define PWR_BASE (APB1PERIPH_BASE + 0x7000U) +#define DAC_BASE (APB1PERIPH_BASE + 0x7400U) +#define UART7_BASE (APB1PERIPH_BASE + 0x7800U) +#define UART8_BASE (APB1PERIPH_BASE + 0x7C00U) + +/*!< APB2 peripherals */ +#define TIM1_BASE (APB2PERIPH_BASE + 0x0000U) +#define TIM8_BASE (APB2PERIPH_BASE + 0x0400U) +#define USART1_BASE (APB2PERIPH_BASE + 0x1000U) +#define USART6_BASE (APB2PERIPH_BASE + 0x1400U) +#define UART9_BASE (APB2PERIPH_BASE + 0x1800U) +#define UART10_BASE (APB2PERIPH_BASE + 0x1C00U) +#define ADC1_BASE (APB2PERIPH_BASE + 0x2000U) +#define ADC_BASE (APB2PERIPH_BASE + 0x2300U) +#define SDIO_BASE (APB2PERIPH_BASE + 0x2C00U) +#define SPI1_BASE (APB2PERIPH_BASE + 0x3000U) +#define SPI4_BASE (APB2PERIPH_BASE + 0x3400U) +#define SYSCFG_BASE (APB2PERIPH_BASE + 0x3800U) +#define EXTI_BASE (APB2PERIPH_BASE + 0x3C00U) +#define TIM9_BASE (APB2PERIPH_BASE + 0x4000U) +#define TIM10_BASE (APB2PERIPH_BASE + 0x4400U) +#define TIM11_BASE (APB2PERIPH_BASE + 0x4800U) +#define SPI5_BASE (APB2PERIPH_BASE + 0x5000U) +#define DFSDM1_BASE (APB2PERIPH_BASE + 0x6000U) +#define DFSDM2_BASE (APB2PERIPH_BASE + 0x6400U) +#define DFSDM1_Channel0_BASE (DFSDM1_BASE + 0x00U) +#define DFSDM1_Channel1_BASE (DFSDM1_BASE + 0x20U) +#define DFSDM1_Channel2_BASE (DFSDM1_BASE + 0x40U) +#define DFSDM1_Channel3_BASE (DFSDM1_BASE + 0x60U) +#define DFSDM1_Filter0_BASE (DFSDM1_BASE + 0x100U) +#define DFSDM1_Filter1_BASE (DFSDM1_BASE + 0x180U) +#define DFSDM2_Channel0_BASE (DFSDM2_BASE + 0x00U) +#define DFSDM2_Channel1_BASE (DFSDM2_BASE + 0x20U) +#define DFSDM2_Channel2_BASE (DFSDM2_BASE + 0x40U) +#define DFSDM2_Channel3_BASE (DFSDM2_BASE + 0x60U) +#define DFSDM2_Channel4_BASE (DFSDM2_BASE + 0x80U) +#define DFSDM2_Channel5_BASE (DFSDM2_BASE + 0xA0U) +#define DFSDM2_Channel6_BASE (DFSDM2_BASE + 0xC0U) +#define DFSDM2_Channel7_BASE (DFSDM2_BASE + 0xE0U) +#define DFSDM2_Filter0_BASE (DFSDM2_BASE + 0x100U) +#define DFSDM2_Filter1_BASE (DFSDM2_BASE + 0x180U) +#define DFSDM2_Filter2_BASE (DFSDM2_BASE + 0x200U) +#define DFSDM2_Filter3_BASE (DFSDM2_BASE + 0x280U) +#define SAI1_BASE (APB2PERIPH_BASE + 0x5800U) +#define SAI1_Block_A_BASE (SAI1_BASE + 0x004U) +#define SAI1_Block_B_BASE (SAI1_BASE + 0x024U) + +/*!< AHB1 peripherals */ +#define GPIOA_BASE (AHB1PERIPH_BASE + 0x0000U) +#define GPIOB_BASE (AHB1PERIPH_BASE + 0x0400U) +#define GPIOC_BASE (AHB1PERIPH_BASE + 0x0800U) +#define GPIOD_BASE (AHB1PERIPH_BASE + 0x0C00U) +#define GPIOE_BASE (AHB1PERIPH_BASE + 0x1000U) +#define GPIOF_BASE (AHB1PERIPH_BASE + 0x1400U) +#define GPIOG_BASE (AHB1PERIPH_BASE + 0x1800U) +#define GPIOH_BASE (AHB1PERIPH_BASE + 0x1C00U) +#define CRC_BASE (AHB1PERIPH_BASE + 0x3000U) +#define RCC_BASE (AHB1PERIPH_BASE + 0x3800U) +#define FLASH_R_BASE (AHB1PERIPH_BASE + 0x3C00U) +#define DMA1_BASE (AHB1PERIPH_BASE + 0x6000U) +#define DMA1_Stream0_BASE (DMA1_BASE + 0x010U) +#define DMA1_Stream1_BASE (DMA1_BASE + 0x028U) +#define DMA1_Stream2_BASE (DMA1_BASE + 0x040U) +#define DMA1_Stream3_BASE (DMA1_BASE + 0x058U) +#define DMA1_Stream4_BASE (DMA1_BASE + 0x070U) +#define DMA1_Stream5_BASE (DMA1_BASE + 0x088U) +#define DMA1_Stream6_BASE (DMA1_BASE + 0x0A0U) +#define DMA1_Stream7_BASE (DMA1_BASE + 0x0B8U) +#define DMA2_BASE (AHB1PERIPH_BASE + 0x6400U) +#define DMA2_Stream0_BASE (DMA2_BASE + 0x010U) +#define DMA2_Stream1_BASE (DMA2_BASE + 0x028U) +#define DMA2_Stream2_BASE (DMA2_BASE + 0x040U) +#define DMA2_Stream3_BASE (DMA2_BASE + 0x058U) +#define DMA2_Stream4_BASE (DMA2_BASE + 0x070U) +#define DMA2_Stream5_BASE (DMA2_BASE + 0x088U) +#define DMA2_Stream6_BASE (DMA2_BASE + 0x0A0U) +#define DMA2_Stream7_BASE (DMA2_BASE + 0x0B8U) + +/*!< AHB2 peripherals */ +#define RNG_BASE (AHB2PERIPH_BASE + 0x60800U) + + +/*!< FSMC Bankx registers base address */ +#define FSMC_Bank1_R_BASE (FSMC_R_BASE + 0x0000U) +#define FSMC_Bank1E_R_BASE (FSMC_R_BASE + 0x0104U) + +/*!< Debug MCU registers base address */ +#define DBGMCU_BASE 0xE0042000U +/*!< USB registers base address */ +#define USB_OTG_FS_PERIPH_BASE 0x50000000U + +#define USB_OTG_GLOBAL_BASE 0x000U +#define USB_OTG_DEVICE_BASE 0x800U +#define USB_OTG_IN_ENDPOINT_BASE 0x900U +#define USB_OTG_OUT_ENDPOINT_BASE 0xB00U +#define USB_OTG_EP_REG_SIZE 0x20U +#define USB_OTG_HOST_BASE 0x400U +#define USB_OTG_HOST_PORT_BASE 0x440U +#define USB_OTG_HOST_CHANNEL_BASE 0x500U +#define USB_OTG_HOST_CHANNEL_SIZE 0x20U +#define USB_OTG_PCGCCTL_BASE 0xE00U +#define USB_OTG_FIFO_BASE 0x1000U +#define USB_OTG_FIFO_SIZE 0x1000U + +#define UID_BASE 0x1FFF7A10U /*!< Unique device ID register base address */ +#define FLASHSIZE_BASE 0x1FFF7A22U /*!< FLASH Size register base address */ +#define PACKAGE_BASE 0x1FFF7BF0U /*!< Package size register base address */ +/** + * @} + */ + +/** @addtogroup Peripheral_declaration + * @{ + */ +#define TIM2 ((TIM_TypeDef *) TIM2_BASE) +#define TIM3 ((TIM_TypeDef *) TIM3_BASE) +#define TIM4 ((TIM_TypeDef *) TIM4_BASE) +#define TIM5 ((TIM_TypeDef *) TIM5_BASE) +#define TIM6 ((TIM_TypeDef *) TIM6_BASE) +#define TIM7 ((TIM_TypeDef *) TIM7_BASE) +#define TIM12 ((TIM_TypeDef *) TIM12_BASE) +#define TIM13 ((TIM_TypeDef *) TIM13_BASE) +#define TIM14 ((TIM_TypeDef *) TIM14_BASE) +#define LPTIM1 ((LPTIM_TypeDef *) LPTIM1_BASE) +#define RTC ((RTC_TypeDef *) RTC_BASE) +#define WWDG ((WWDG_TypeDef *) WWDG_BASE) +#define IWDG ((IWDG_TypeDef *) IWDG_BASE) +#define I2S2ext ((SPI_TypeDef *) I2S2ext_BASE) +#define SPI2 ((SPI_TypeDef *) SPI2_BASE) +#define SPI3 ((SPI_TypeDef *) SPI3_BASE) +#define I2S3ext ((SPI_TypeDef *) I2S3ext_BASE) +#define USART2 ((USART_TypeDef *) USART2_BASE) +#define USART3 ((USART_TypeDef *) USART3_BASE) +#define UART4 ((USART_TypeDef *) UART4_BASE) +#define UART5 ((USART_TypeDef *) UART5_BASE) +#define I2C1 ((I2C_TypeDef *) I2C1_BASE) +#define I2C2 ((I2C_TypeDef *) I2C2_BASE) +#define I2C3 ((I2C_TypeDef *) I2C3_BASE) +#define FMPI2C1 ((FMPI2C_TypeDef *) FMPI2C1_BASE) +#define CAN1 ((CAN_TypeDef *) CAN1_BASE) +#define CAN2 ((CAN_TypeDef *) CAN2_BASE) +#define CAN3 ((CAN_TypeDef *) CAN3_BASE) +#define PWR ((PWR_TypeDef *) PWR_BASE) +#define DAC1 ((DAC_TypeDef *) DAC_BASE) +#define DAC ((DAC_TypeDef *) DAC_BASE) /* Kept for legacy purpose */ +#define UART7 ((USART_TypeDef *) UART7_BASE) +#define UART8 ((USART_TypeDef *) UART8_BASE) +#define TIM1 ((TIM_TypeDef *) TIM1_BASE) +#define TIM8 ((TIM_TypeDef *) TIM8_BASE) +#define USART1 ((USART_TypeDef *) USART1_BASE) +#define USART6 ((USART_TypeDef *) USART6_BASE) +#define UART9 ((USART_TypeDef *) UART9_BASE) +#define UART10 ((USART_TypeDef *) UART10_BASE) +#define ADC ((ADC_Common_TypeDef *) ADC_BASE) +#define ADC1 ((ADC_TypeDef *) ADC1_BASE) +#define SDIO ((SDIO_TypeDef *) SDIO_BASE) +#define SPI1 ((SPI_TypeDef *) SPI1_BASE) +#define SPI4 ((SPI_TypeDef *) SPI4_BASE) +#define SYSCFG ((SYSCFG_TypeDef *) SYSCFG_BASE) +#define EXTI ((EXTI_TypeDef *) EXTI_BASE) +#define TIM9 ((TIM_TypeDef *) TIM9_BASE) +#define TIM10 ((TIM_TypeDef *) TIM10_BASE) +#define TIM11 ((TIM_TypeDef *) TIM11_BASE) +#define SPI5 ((SPI_TypeDef *) SPI5_BASE) +#define DFSDM1_Channel0 ((DFSDM_Channel_TypeDef *) DFSDM1_Channel0_BASE) +#define DFSDM1_Channel1 ((DFSDM_Channel_TypeDef *) DFSDM1_Channel1_BASE) +#define DFSDM1_Channel2 ((DFSDM_Channel_TypeDef *) DFSDM1_Channel2_BASE) +#define DFSDM1_Channel3 ((DFSDM_Channel_TypeDef *) DFSDM1_Channel3_BASE) +#define DFSDM1_Filter0 ((DFSDM_Filter_TypeDef *) DFSDM1_Filter0_BASE) +#define DFSDM1_Filter1 ((DFSDM_Filter_TypeDef *) DFSDM1_Filter1_BASE) +#define DFSDM2_Channel0 ((DFSDM_Channel_TypeDef *) DFSDM2_Channel0_BASE) +#define DFSDM2_Channel1 ((DFSDM_Channel_TypeDef *) DFSDM2_Channel1_BASE) +#define DFSDM2_Channel2 ((DFSDM_Channel_TypeDef *) DFSDM2_Channel2_BASE) +#define DFSDM2_Channel3 ((DFSDM_Channel_TypeDef *) DFSDM2_Channel3_BASE) +#define DFSDM2_Channel4 ((DFSDM_Channel_TypeDef *) DFSDM2_Channel4_BASE) +#define DFSDM2_Channel5 ((DFSDM_Channel_TypeDef *) DFSDM2_Channel5_BASE) +#define DFSDM2_Channel6 ((DFSDM_Channel_TypeDef *) DFSDM2_Channel6_BASE) +#define DFSDM2_Channel7 ((DFSDM_Channel_TypeDef *) DFSDM2_Channel7_BASE) +#define DFSDM2_Filter0 ((DFSDM_Filter_TypeDef *) DFSDM2_Filter0_BASE) +#define DFSDM2_Filter1 ((DFSDM_Filter_TypeDef *) DFSDM2_Filter1_BASE) +#define DFSDM2_Filter2 ((DFSDM_Filter_TypeDef *) DFSDM2_Filter2_BASE) +#define DFSDM2_Filter3 ((DFSDM_Filter_TypeDef *) DFSDM2_Filter3_BASE) +#define SAI1 ((SAI_TypeDef *) SAI1_BASE) +#define SAI1_Block_A ((SAI_Block_TypeDef *)SAI1_Block_A_BASE) +#define SAI1_Block_B ((SAI_Block_TypeDef *)SAI1_Block_B_BASE) +#define GPIOA ((GPIO_TypeDef *) GPIOA_BASE) +#define GPIOB ((GPIO_TypeDef *) GPIOB_BASE) +#define GPIOC ((GPIO_TypeDef *) GPIOC_BASE) +#define GPIOD ((GPIO_TypeDef *) GPIOD_BASE) +#define GPIOE ((GPIO_TypeDef *) GPIOE_BASE) +#define GPIOF ((GPIO_TypeDef *) GPIOF_BASE) +#define GPIOG ((GPIO_TypeDef *) GPIOG_BASE) +#define GPIOH ((GPIO_TypeDef *) GPIOH_BASE) +#define CRC ((CRC_TypeDef *) CRC_BASE) +#define RCC ((RCC_TypeDef *) RCC_BASE) +#define FLASH ((FLASH_TypeDef *) FLASH_R_BASE) +#define DMA1 ((DMA_TypeDef *) DMA1_BASE) +#define DMA1_Stream0 ((DMA_Stream_TypeDef *) DMA1_Stream0_BASE) +#define DMA1_Stream1 ((DMA_Stream_TypeDef *) DMA1_Stream1_BASE) +#define DMA1_Stream2 ((DMA_Stream_TypeDef *) DMA1_Stream2_BASE) +#define DMA1_Stream3 ((DMA_Stream_TypeDef *) DMA1_Stream3_BASE) +#define DMA1_Stream4 ((DMA_Stream_TypeDef *) DMA1_Stream4_BASE) +#define DMA1_Stream5 ((DMA_Stream_TypeDef *) DMA1_Stream5_BASE) +#define DMA1_Stream6 ((DMA_Stream_TypeDef *) DMA1_Stream6_BASE) +#define DMA1_Stream7 ((DMA_Stream_TypeDef *) DMA1_Stream7_BASE) +#define DMA2 ((DMA_TypeDef *) DMA2_BASE) +#define DMA2_Stream0 ((DMA_Stream_TypeDef *) DMA2_Stream0_BASE) +#define DMA2_Stream1 ((DMA_Stream_TypeDef *) DMA2_Stream1_BASE) +#define DMA2_Stream2 ((DMA_Stream_TypeDef *) DMA2_Stream2_BASE) +#define DMA2_Stream3 ((DMA_Stream_TypeDef *) DMA2_Stream3_BASE) +#define DMA2_Stream4 ((DMA_Stream_TypeDef *) DMA2_Stream4_BASE) +#define DMA2_Stream5 ((DMA_Stream_TypeDef *) DMA2_Stream5_BASE) +#define DMA2_Stream6 ((DMA_Stream_TypeDef *) DMA2_Stream6_BASE) +#define DMA2_Stream7 ((DMA_Stream_TypeDef *) DMA2_Stream7_BASE) +#define RNG ((RNG_TypeDef *) RNG_BASE) +#define FSMC_Bank1 ((FSMC_Bank1_TypeDef *) FSMC_Bank1_R_BASE) +#define FSMC_Bank1E ((FSMC_Bank1E_TypeDef *) FSMC_Bank1E_R_BASE) +#define QUADSPI ((QUADSPI_TypeDef *) QSPI_R_BASE) +#define DBGMCU ((DBGMCU_TypeDef *) DBGMCU_BASE) +#define USB_OTG_FS ((USB_OTG_GlobalTypeDef *) USB_OTG_FS_PERIPH_BASE) + +/** + * @} + */ + +/** @addtogroup Exported_constants + * @{ + */ + + /** @addtogroup Peripheral_Registers_Bits_Definition + * @{ + */ + +/******************************************************************************/ +/* Peripheral Registers_Bits_Definition */ +/******************************************************************************/ + +/******************************************************************************/ +/* */ +/* Analog to Digital Converter */ +/* */ +/******************************************************************************/ +/******************** Bit definition for ADC_SR register ********************/ +#define ADC_SR_AWD_Pos (0U) +#define ADC_SR_AWD_Msk (0x1U << ADC_SR_AWD_Pos) /*!< 0x00000001 */ +#define ADC_SR_AWD ADC_SR_AWD_Msk /*!
© COPYRIGHT(c) 2016 STMicroelectronics
+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32f4xx + * @{ + */ + +#ifndef __STM32F4xx_H +#define __STM32F4xx_H + +#ifdef __cplusplus + extern "C" { +#endif /* __cplusplus */ + +/** @addtogroup Library_configuration_section + * @{ + */ + +/** + * @brief STM32 Family + */ +#if !defined (STM32F4) +#define STM32F4 +#endif /* STM32F4 */ + +/* Uncomment the line below according to the target STM32 device used in your + application + */ +#if !defined (STM32F405xx) && !defined (STM32F415xx) && !defined (STM32F407xx) && !defined (STM32F417xx) && \ + !defined (STM32F427xx) && !defined (STM32F437xx) && !defined (STM32F429xx) && !defined (STM32F439xx) && \ + !defined (STM32F401xC) && !defined (STM32F401xE) && !defined (STM32F410Tx) && !defined (STM32F410Cx) && \ + !defined (STM32F410Rx) && !defined (STM32F411xE) && !defined (STM32F446xx) && !defined (STM32F469xx) && \ + !defined (STM32F479xx) && !defined (STM32F412Cx) && !defined (STM32F412Rx) && !defined (STM32F412Vx) && \ + !defined (STM32F412Zx) && !defined (STM32F413xx) && !defined (STM32F423xx) + /* #define STM32F405xx */ /*!< STM32F405RG, STM32F405VG and STM32F405ZG Devices */ + /* #define STM32F415xx */ /*!< STM32F415RG, STM32F415VG and STM32F415ZG Devices */ + /* #define STM32F407xx */ /*!< STM32F407VG, STM32F407VE, STM32F407ZG, STM32F407ZE, STM32F407IG and STM32F407IE Devices */ + /* #define STM32F417xx */ /*!< STM32F417VG, STM32F417VE, STM32F417ZG, STM32F417ZE, STM32F417IG and STM32F417IE Devices */ + /* #define STM32F427xx */ /*!< STM32F427VG, STM32F427VI, STM32F427ZG, STM32F427ZI, STM32F427IG and STM32F427II Devices */ + /* #define STM32F437xx */ /*!< STM32F437VG, STM32F437VI, STM32F437ZG, STM32F437ZI, STM32F437IG and STM32F437II Devices */ + /* #define STM32F429xx */ /*!< STM32F429VG, STM32F429VI, STM32F429ZG, STM32F429ZI, STM32F429BG, STM32F429BI, STM32F429NG, + STM32F439NI, STM32F429IG and STM32F429II Devices */ + /* #define STM32F439xx */ /*!< STM32F439VG, STM32F439VI, STM32F439ZG, STM32F439ZI, STM32F439BG, STM32F439BI, STM32F439NG, + STM32F439NI, STM32F439IG and STM32F439II Devices */ + /* #define STM32F401xC */ /*!< STM32F401CB, STM32F401CC, STM32F401RB, STM32F401RC, STM32F401VB and STM32F401VC Devices */ + /* #define STM32F401xE */ /*!< STM32F401CD, STM32F401RD, STM32F401VD, STM32F401CE, STM32F401RE and STM32F401VE Devices */ + /* #define STM32F410Tx */ /*!< STM32F410T8 and STM32F410TB Devices */ + /* #define STM32F410Cx */ /*!< STM32F410C8 and STM32F410CB Devices */ + /* #define STM32F410Rx */ /*!< STM32F410R8 and STM32F410RB Devices */ + /* #define STM32F411xE */ /*!< STM32F411CC, STM32F411RC, STM32F411VC, STM32F411CE, STM32F411RE and STM32F411VE Devices */ + /* #define STM32F446xx */ /*!< STM32F446MC, STM32F446ME, STM32F446RC, STM32F446RE, STM32F446VC, STM32F446VE, STM32F446ZC, + and STM32F446ZE Devices */ + /* #define STM32F469xx */ /*!< STM32F469AI, STM32F469II, STM32F469BI, STM32F469NI, STM32F469AG, STM32F469IG, STM32F469BG, + STM32F469NG, STM32F469AE, STM32F469IE, STM32F469BE and STM32F469NE Devices */ + /* #define STM32F479xx */ /*!< STM32F479AI, STM32F479II, STM32F479BI, STM32F479NI, STM32F479AG, STM32F479IG, STM32F479BG + and STM32F479NG Devices */ + /* #define STM32F412Cx */ /*!< STM32F412CEU and STM32F412CGU Devices */ + /* #define STM32F412Zx */ /*!< STM32F412ZET, STM32F412ZGT, STM32F412ZEJ and STM32F412ZGJ Devices */ + /* #define STM32F412Vx */ /*!< STM32F412VET, STM32F412VGT, STM32F412VEH and STM32F412VGH Devices */ + /* #define STM32F412Rx */ /*!< STM32F412RET, STM32F412RGT, STM32F412REY and STM32F412RGY Devices */ + /* #define STM32F413xx */ /*!< STM32F413CH, STM32F413MH, STM32F413RH, STM32F413VH, STM32F413ZH, STM32F413CG, STM32F413MG, + STM32F413RG, STM32F413VG and STM32F413ZG Devices */ + /* #define STM32F423xx */ /*!< STM32F423CH, STM32F423RH, STM32F423VH and STM32F423ZH Devices */ +#endif + +/* Tip: To avoid modifying this file each time you need to switch between these + devices, you can define the device in your toolchain compiler preprocessor. + */ +#if !defined (USE_HAL_DRIVER) +/** + * @brief Comment the line below if you will not use the peripherals drivers. + In this case, these drivers will not be included and the application code will + be based on direct access to peripherals registers + */ + /*#define USE_HAL_DRIVER */ +#endif /* USE_HAL_DRIVER */ + +/** + * @brief CMSIS version number V2.6.0 + */ +#define __STM32F4xx_CMSIS_VERSION_MAIN (0x02U) /*!< [31:24] main version */ +#define __STM32F4xx_CMSIS_VERSION_SUB1 (0x06U) /*!< [23:16] sub1 version */ +#define __STM32F4xx_CMSIS_VERSION_SUB2 (0x00U) /*!< [15:8] sub2 version */ +#define __STM32F4xx_CMSIS_VERSION_RC (0x00U) /*!< [7:0] release candidate */ +#define __STM32F4xx_CMSIS_VERSION ((__STM32F4xx_CMSIS_VERSION_MAIN << 24)\ + |(__STM32F4xx_CMSIS_VERSION_SUB1 << 16)\ + |(__STM32F4xx_CMSIS_VERSION_SUB2 << 8 )\ + |(__STM32F4xx_CMSIS_VERSION)) + +/** + * @} + */ + +/** @addtogroup Device_Included + * @{ + */ + +#if defined(STM32F405xx) + #include "stm32f405xx.h" +#elif defined(STM32F415xx) + #include "stm32f415xx.h" +#elif defined(STM32F407xx) + #include "stm32f407xx.h" +#elif defined(STM32F417xx) + #include "stm32f417xx.h" +#elif defined(STM32F427xx) + #include "stm32f427xx.h" +#elif defined(STM32F437xx) + #include "stm32f437xx.h" +#elif defined(STM32F429xx) + #include "stm32f429xx.h" +#elif defined(STM32F439xx) + #include "stm32f439xx.h" +#elif defined(STM32F401xC) + #include "stm32f401xc.h" +#elif defined(STM32F401xE) + #include "stm32f401xe.h" +#elif defined(STM32F410Tx) + #include "stm32f410tx.h" +#elif defined(STM32F410Cx) + #include "stm32f410cx.h" +#elif defined(STM32F410Rx) + #include "stm32f410rx.h" +#elif defined(STM32F411xE) + #include "stm32f411xe.h" +#elif defined(STM32F446xx) + #include "stm32f446xx.h" +#elif defined(STM32F469xx) + #include "stm32f469xx.h" +#elif defined(STM32F479xx) + #include "stm32f479xx.h" +#elif defined(STM32F412Cx) + #include "stm32f412cx.h" +#elif defined(STM32F412Zx) + #include "stm32f412zx.h" +#elif defined(STM32F412Rx) + #include "stm32f412rx.h" +#elif defined(STM32F412Vx) + #include "stm32f412vx.h" +#elif defined(STM32F413xx) + #include "stm32f413xx.h" +#elif defined(STM32F423xx) + #include "stm32f423xx.h" +#else + #error "Please select first the target STM32F4xx device used in your application (in stm32f4xx.h file)" +#endif + +/** + * @} + */ + +/** @addtogroup Exported_types + * @{ + */ +typedef enum +{ + RESET = 0U, + SET = !RESET +} FlagStatus, ITStatus; + +typedef enum +{ + DISABLE = 0U, + ENABLE = !DISABLE +} FunctionalState; +#define IS_FUNCTIONAL_STATE(STATE) (((STATE) == DISABLE) || ((STATE) == ENABLE)) + +typedef enum +{ + ERROR = 0U, + SUCCESS = !ERROR +} ErrorStatus; + +/** + * @} + */ + + +/** @addtogroup Exported_macro + * @{ + */ +#define SET_BIT(REG, BIT) ((REG) |= (BIT)) + +#define CLEAR_BIT(REG, BIT) ((REG) &= ~(BIT)) + +#define READ_BIT(REG, BIT) ((REG) & (BIT)) + +#define CLEAR_REG(REG) ((REG) = (0x0)) + +#define WRITE_REG(REG, VAL) ((REG) = (VAL)) + +#define READ_REG(REG) ((REG)) + +#define MODIFY_REG(REG, CLEARMASK, SETMASK) WRITE_REG((REG), (((READ_REG(REG)) & (~(CLEARMASK))) | (SETMASK))) + +#define POSITION_VAL(VAL) (__CLZ(__RBIT(VAL))) + + +/** + * @} + */ + +#if defined (USE_HAL_DRIVER) + #include "stm32f4xx_hal.h" +#endif /* USE_HAL_DRIVER */ + +#ifdef __cplusplus +} +#endif /* __cplusplus */ + +#endif /* __STM32F4xx_H */ +/** + * @} + */ + +/** + * @} + */ + + + + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/inc/stm32f4xx_hal_def.h b/board/inc/stm32f4xx_hal_def.h new file mode 100644 index 0000000..c9f0baa --- /dev/null +++ b/board/inc/stm32f4xx_hal_def.h @@ -0,0 +1,214 @@ +/** + ****************************************************************************** + * @file stm32f4xx_hal_def.h + * @author MCD Application Team + * @version V1.6.0 + * @date 04-November-2016 + * @brief This file contains HAL common defines, enumeration, macros and + * structures definitions. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __STM32F4xx_HAL_DEF +#define __STM32F4xx_HAL_DEF + +#ifdef __cplusplus + extern "C" { +#endif + +/* Includes ------------------------------------------------------------------*/ +#include "stm32f4xx.h" +//#include "Legacy/stm32_hal_legacy.h" +//#include + +/* Exported types ------------------------------------------------------------*/ + +/** + * @brief HAL Status structures definition + */ +typedef enum +{ + HAL_OK = 0x00U, + HAL_ERROR = 0x01U, + HAL_BUSY = 0x02U, + HAL_TIMEOUT = 0x03U +} HAL_StatusTypeDef; + +/** + * @brief HAL Lock structures definition + */ +typedef enum +{ + HAL_UNLOCKED = 0x00U, + HAL_LOCKED = 0x01U +} HAL_LockTypeDef; + +/* Exported macro ------------------------------------------------------------*/ +#define HAL_MAX_DELAY 0xFFFFFFFFU + +#define HAL_IS_BIT_SET(REG, BIT) (((REG) & (BIT)) != RESET) +#define HAL_IS_BIT_CLR(REG, BIT) (((REG) & (BIT)) == RESET) + +#define __HAL_LINKDMA(__HANDLE__, __PPP_DMA_FIELD__, __DMA_HANDLE__) \ + do{ \ + (__HANDLE__)->__PPP_DMA_FIELD__ = &(__DMA_HANDLE__); \ + (__DMA_HANDLE__).Parent = (__HANDLE__); \ + } while(0) + +#define UNUSED(x) ((void)(x)) + +/** @brief Reset the Handle's State field. + * @param __HANDLE__: specifies the Peripheral Handle. + * @note This macro can be used for the following purpose: + * - When the Handle is declared as local variable; before passing it as parameter + * to HAL_PPP_Init() for the first time, it is mandatory to use this macro + * to set to 0 the Handle's "State" field. + * Otherwise, "State" field may have any random value and the first time the function + * HAL_PPP_Init() is called, the low level hardware initialization will be missed + * (i.e. HAL_PPP_MspInit() will not be executed). + * - When there is a need to reconfigure the low level hardware: instead of calling + * HAL_PPP_DeInit() then HAL_PPP_Init(), user can make a call to this macro then HAL_PPP_Init(). + * In this later function, when the Handle's "State" field is set to 0, it will execute the function + * HAL_PPP_MspInit() which will reconfigure the low level hardware. + * @retval None + */ +#define __HAL_RESET_HANDLE_STATE(__HANDLE__) ((__HANDLE__)->State = 0U) + +#if (USE_RTOS == 1) + /* Reserved for future use */ + #error "USE_RTOS should be 0 in the current HAL release" +#else + #define __HAL_LOCK(__HANDLE__) \ + do{ \ + if((__HANDLE__)->Lock == HAL_LOCKED) \ + { \ + return HAL_BUSY; \ + } \ + else \ + { \ + (__HANDLE__)->Lock = HAL_LOCKED; \ + } \ + }while (0) + + #define __HAL_UNLOCK(__HANDLE__) \ + do{ \ + (__HANDLE__)->Lock = HAL_UNLOCKED; \ + }while (0) +#endif /* USE_RTOS */ + +#if defined ( __GNUC__ ) + #ifndef __weak + #define __weak __attribute__((weak)) + #endif /* __weak */ + #ifndef __packed + #define __packed __attribute__((__packed__)) + #endif /* __packed */ +#endif /* __GNUC__ */ + + +/* Macro to get variable aligned on 4-bytes, for __ICCARM__ the directive "#pragma data_alignment=4" must be used instead */ +#if defined (__GNUC__) /* GNU Compiler */ + #ifndef __ALIGN_END + #define __ALIGN_END __attribute__ ((aligned (4))) + #endif /* __ALIGN_END */ + #ifndef __ALIGN_BEGIN + #define __ALIGN_BEGIN + #endif /* __ALIGN_BEGIN */ +#else + #ifndef __ALIGN_END + #define __ALIGN_END + #endif /* __ALIGN_END */ + #ifndef __ALIGN_BEGIN + #if defined (__CC_ARM) /* ARM Compiler */ + #define __ALIGN_BEGIN __align(4) + #elif defined (__ICCARM__) /* IAR Compiler */ + #define __ALIGN_BEGIN + #endif /* __CC_ARM */ + #endif /* __ALIGN_BEGIN */ +#endif /* __GNUC__ */ + + +/** + * @brief __RAM_FUNC definition + */ +#if defined ( __CC_ARM ) +/* ARM Compiler + ------------ + RAM functions are defined using the toolchain options. + Functions that are executed in RAM should reside in a separate source module. + Using the 'Options for File' dialog you can simply change the 'Code / Const' + area of a module to a memory space in physical RAM. + Available memory areas are declared in the 'Target' tab of the 'Options for Target' + dialog. +*/ +#define __RAM_FUNC HAL_StatusTypeDef + +#elif defined ( __ICCARM__ ) +/* ICCARM Compiler + --------------- + RAM functions are defined using a specific toolchain keyword "__ramfunc". +*/ +#define __RAM_FUNC __ramfunc HAL_StatusTypeDef + +#elif defined ( __GNUC__ ) +/* GNU Compiler + ------------ + RAM functions are defined using a specific toolchain attribute + "__attribute__((section(".RamFunc")))". +*/ +#define __RAM_FUNC HAL_StatusTypeDef __attribute__((section(".RamFunc"))) + +#endif + +/** + * @brief __NOINLINE definition + */ +#if defined ( __CC_ARM ) || defined ( __GNUC__ ) +/* ARM & GNUCompiler + ---------------- +*/ +#define __NOINLINE __attribute__ ( (noinline) ) + +#elif defined ( __ICCARM__ ) +/* ICCARM Compiler + --------------- +*/ +#define __NOINLINE _Pragma("optimize = no_inline") + +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* ___STM32F4xx_HAL_DEF */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/inc/stm32f4xx_hal_gpio_ex.h b/board/inc/stm32f4xx_hal_gpio_ex.h new file mode 100644 index 0000000..50c6588 --- /dev/null +++ b/board/inc/stm32f4xx_hal_gpio_ex.h @@ -0,0 +1,1591 @@ +/** + ****************************************************************************** + * @file stm32f4xx_hal_gpio_ex.h + * @author MCD Application Team + * @version V1.6.0 + * @date 04-November-2016 + * @brief Header file of GPIO HAL Extension module. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __STM32F4xx_HAL_GPIO_EX_H +#define __STM32F4xx_HAL_GPIO_EX_H + +#ifdef __cplusplus + extern "C" { +#endif + +/* Includes ------------------------------------------------------------------*/ +#include "stm32f4xx_hal_def.h" + +/** @addtogroup STM32F4xx_HAL_Driver + * @{ + */ + +/** @defgroup GPIOEx GPIOEx + * @{ + */ + +/* Exported types ------------------------------------------------------------*/ +/* Exported constants --------------------------------------------------------*/ +/** @defgroup GPIOEx_Exported_Constants GPIO Exported Constants + * @{ + */ + +/** @defgroup GPIO_Alternate_function_selection GPIO Alternate Function Selection + * @{ + */ + +/*------------------------------------------ STM32F429xx/STM32F439xx ---------*/ +#if defined(STM32F429xx) || defined(STM32F439xx) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM8 ((uint8_t)0x03U) /* TIM8 Alternate Function mapping */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_SPI3 ((uint8_t)0x05U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF5_SPI4 ((uint8_t)0x05U) /* SPI4 Alternate Function mapping */ +#define GPIO_AF5_SPI5 ((uint8_t)0x05U) /* SPI5 Alternate Function mapping */ +#define GPIO_AF5_SPI6 ((uint8_t)0x05U) /* SPI6 Alternate Function mapping */ +#define GPIO_AF5_I2S3ext ((uint8_t)0x05U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_I2S2ext ((uint8_t)0x06U) /* I2S2ext_SD Alternate Function mapping */ +#define GPIO_AF6_SAI1 ((uint8_t)0x06U) /* SAI1 Alternate Function mapping */ + +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_USART3 ((uint8_t)0x07U) /* USART3 Alternate Function mapping */ +#define GPIO_AF7_I2S3ext ((uint8_t)0x07U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_UART4 ((uint8_t)0x08U) /* UART4 Alternate Function mapping */ +#define GPIO_AF8_UART5 ((uint8_t)0x08U) /* UART5 Alternate Function mapping */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ +#define GPIO_AF8_UART7 ((uint8_t)0x08U) /* UART7 Alternate Function mapping */ +#define GPIO_AF8_UART8 ((uint8_t)0x08U) /* UART8 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_CAN1 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_CAN2 ((uint8_t)0x09U) /* CAN2 Alternate Function mapping */ +#define GPIO_AF9_TIM12 ((uint8_t)0x09U) /* TIM12 Alternate Function mapping */ +#define GPIO_AF9_TIM13 ((uint8_t)0x09U) /* TIM13 Alternate Function mapping */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ +#define GPIO_AF9_LTDC ((uint8_t)0x09U) /* LCD-TFT Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ +#define GPIO_AF10_OTG_HS ((uint8_t)0x0AU) /* OTG_HS Alternate Function mapping */ + +/** + * @brief AF 11 selection + */ +#define GPIO_AF11_ETH ((uint8_t)0x0BU) /* ETHERNET Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_FMC ((uint8_t)0x0CU) /* FMC Alternate Function mapping */ +#define GPIO_AF12_OTG_HS_FS ((uint8_t)0x0CU) /* OTG HS configured in FS, Alternate Function mapping */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ + +/** + * @brief AF 13 selection + */ +#define GPIO_AF13_DCMI ((uint8_t)0x0DU) /* DCMI Alternate Function mapping */ + +/** + * @brief AF 14 selection + */ +#define GPIO_AF14_LTDC ((uint8_t)0x0EU) /* LCD-TFT Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ +#endif /* STM32F429xx || STM32F439xx */ +/*----------------------------------------------------------------------------*/ + +/*---------------------------------- STM32F427xx/STM32F437xx------------------*/ +#if defined(STM32F427xx) || defined(STM32F437xx) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM8 ((uint8_t)0x03U) /* TIM8 Alternate Function mapping */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_SPI3 ((uint8_t)0x05U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF5_SPI4 ((uint8_t)0x05U) /* SPI4 Alternate Function mapping */ +#define GPIO_AF5_SPI5 ((uint8_t)0x05U) /* SPI5 Alternate Function mapping */ +#define GPIO_AF5_SPI6 ((uint8_t)0x05U) /* SPI6 Alternate Function mapping */ +/** @brief GPIO_Legacy + */ +#define GPIO_AF5_I2S3ext GPIO_AF5_SPI3 /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_I2S2ext ((uint8_t)0x06U) /* I2S2ext_SD Alternate Function mapping */ +#define GPIO_AF6_SAI1 ((uint8_t)0x06U) /* SAI1 Alternate Function mapping */ + +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_USART3 ((uint8_t)0x07U) /* USART3 Alternate Function mapping */ +#define GPIO_AF7_I2S3ext ((uint8_t)0x07U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_UART4 ((uint8_t)0x08U) /* UART4 Alternate Function mapping */ +#define GPIO_AF8_UART5 ((uint8_t)0x08U) /* UART5 Alternate Function mapping */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ +#define GPIO_AF8_UART7 ((uint8_t)0x08U) /* UART7 Alternate Function mapping */ +#define GPIO_AF8_UART8 ((uint8_t)0x08U) /* UART8 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_CAN1 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_CAN2 ((uint8_t)0x09U) /* CAN2 Alternate Function mapping */ +#define GPIO_AF9_TIM12 ((uint8_t)0x09U) /* TIM12 Alternate Function mapping */ +#define GPIO_AF9_TIM13 ((uint8_t)0x09U) /* TIM13 Alternate Function mapping */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ +#define GPIO_AF10_OTG_HS ((uint8_t)0x0AU) /* OTG_HS Alternate Function mapping */ + +/** + * @brief AF 11 selection + */ +#define GPIO_AF11_ETH ((uint8_t)0x0BU) /* ETHERNET Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_FMC ((uint8_t)0x0CU) /* FMC Alternate Function mapping */ +#define GPIO_AF12_OTG_HS_FS ((uint8_t)0x0CU) /* OTG HS configured in FS, Alternate Function mapping */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ + +/** + * @brief AF 13 selection + */ +#define GPIO_AF13_DCMI ((uint8_t)0x0DU) /* DCMI Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ +#endif /* STM32F427xx || STM32F437xx */ +/*----------------------------------------------------------------------------*/ + +/*---------------------------------- STM32F407xx/STM32F417xx------------------*/ +#if defined(STM32F407xx) || defined(STM32F417xx) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM8 ((uint8_t)0x03U) /* TIM8 Alternate Function mapping */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_I2S3ext ((uint8_t)0x05U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_I2S2ext ((uint8_t)0x06U) /* I2S2ext_SD Alternate Function mapping */ + +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_USART3 ((uint8_t)0x07U) /* USART3 Alternate Function mapping */ +#define GPIO_AF7_I2S3ext ((uint8_t)0x07U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_UART4 ((uint8_t)0x08U) /* UART4 Alternate Function mapping */ +#define GPIO_AF8_UART5 ((uint8_t)0x08U) /* UART5 Alternate Function mapping */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_CAN1 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_CAN2 ((uint8_t)0x09U) /* CAN2 Alternate Function mapping */ +#define GPIO_AF9_TIM12 ((uint8_t)0x09U) /* TIM12 Alternate Function mapping */ +#define GPIO_AF9_TIM13 ((uint8_t)0x09U) /* TIM13 Alternate Function mapping */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ +#define GPIO_AF10_OTG_HS ((uint8_t)0x0AU) /* OTG_HS Alternate Function mapping */ + +/** + * @brief AF 11 selection + */ +#define GPIO_AF11_ETH ((uint8_t)0x0BU) /* ETHERNET Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_FSMC ((uint8_t)0x0CU) /* FSMC Alternate Function mapping */ +#define GPIO_AF12_OTG_HS_FS ((uint8_t)0x0CU) /* OTG HS configured in FS, Alternate Function mapping */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ + +/** + * @brief AF 13 selection + */ +#define GPIO_AF13_DCMI ((uint8_t)0x0DU) /* DCMI Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ +#endif /* STM32F407xx || STM32F417xx */ +/*----------------------------------------------------------------------------*/ + +/*---------------------------------- STM32F405xx/STM32F415xx------------------*/ +#if defined(STM32F405xx) || defined(STM32F415xx) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM8 ((uint8_t)0x03U) /* TIM8 Alternate Function mapping */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_I2S3ext ((uint8_t)0x05U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_I2S2ext ((uint8_t)0x06U) /* I2S2ext_SD Alternate Function mapping */ + +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_USART3 ((uint8_t)0x07U) /* USART3 Alternate Function mapping */ +#define GPIO_AF7_I2S3ext ((uint8_t)0x07U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_UART4 ((uint8_t)0x08U) /* UART4 Alternate Function mapping */ +#define GPIO_AF8_UART5 ((uint8_t)0x08U) /* UART5 Alternate Function mapping */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_CAN1 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_CAN2 ((uint8_t)0x09U) /* CAN2 Alternate Function mapping */ +#define GPIO_AF9_TIM12 ((uint8_t)0x09U) /* TIM12 Alternate Function mapping */ +#define GPIO_AF9_TIM13 ((uint8_t)0x09U) /* TIM13 Alternate Function mapping */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ +#define GPIO_AF10_OTG_HS ((uint8_t)0x0AU) /* OTG_HS Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_FSMC ((uint8_t)0x0CU) /* FSMC Alternate Function mapping */ +#define GPIO_AF12_OTG_HS_FS ((uint8_t)0x0CU) /* OTG HS configured in FS, Alternate Function mapping */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ +#endif /* STM32F405xx || STM32F415xx */ + +/*----------------------------------------------------------------------------*/ + +/*---------------------------------------- STM32F401xx------------------------*/ +#if defined(STM32F401xC) || defined(STM32F401xE) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_SPI4 ((uint8_t)0x05U) /* SPI4 Alternate Function mapping */ +#define GPIO_AF5_I2S3ext ((uint8_t)0x05U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_I2S2ext ((uint8_t)0x06U) /* I2S2ext_SD Alternate Function mapping */ + +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_I2S3ext ((uint8_t)0x07U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ +#define GPIO_AF9_I2C2 ((uint8_t)0x09U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF9_I2C3 ((uint8_t)0x09U) /* I2C3 Alternate Function mapping */ + + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ +#endif /* STM32F401xC || STM32F401xE */ +/*----------------------------------------------------------------------------*/ + +/*--------------- STM32F412Zx/STM32F412Vx/STM32F412Rx/STM32F412Cx-------------*/ +#if defined(STM32F412Zx) || defined(STM32F412Vx) || defined(STM32F412Rx) || defined(STM32F412Cx) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM8 ((uint8_t)0x03U) /* TIM8 Alternate Function mapping */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ +#define GPIO_AF4_FMPI2C1 ((uint8_t)0x04U) /* FMPI2C1 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1/I2S1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_SPI3 ((uint8_t)0x05U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF5_SPI4 ((uint8_t)0x05U) /* SPI4/I2S4 Alternate Function mapping */ +#define GPIO_AF5_I2S3ext ((uint8_t)0x05U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI2 ((uint8_t)0x06U) /* I2S2 Alternate Function mapping */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_SPI4 ((uint8_t)0x06U) /* SPI4/I2S4 Alternate Function mapping */ +#define GPIO_AF6_SPI5 ((uint8_t)0x06U) /* SPI5/I2S5 Alternate Function mapping */ +#define GPIO_AF6_I2S2ext ((uint8_t)0x06U) /* I2S2ext_SD Alternate Function mapping */ +#define GPIO_AF6_DFSDM1 ((uint8_t)0x06U) /* DFSDM1 Alternate Function mapping */ +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_SPI3 ((uint8_t)0x07U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_USART3 ((uint8_t)0x07U) /* USART3 Alternate Function mapping */ +#define GPIO_AF7_I2S3ext ((uint8_t)0x07U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ +#define GPIO_AF8_USART3 ((uint8_t)0x08U) /* USART3 Alternate Function mapping */ +#define GPIO_AF8_DFSDM1 ((uint8_t)0x08U) /* DFSDM1 Alternate Function mapping */ +#define GPIO_AF8_CAN1 ((uint8_t)0x08U) /* CAN1 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_TIM13 ((uint8_t)0x09U) /* TIM13 Alternate Function mapping */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ +#define GPIO_AF9_I2C2 ((uint8_t)0x09U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF9_I2C3 ((uint8_t)0x09U) /* I2C3 Alternate Function mapping */ +#define GPIO_AF9_FMPI2C1 ((uint8_t)0x09U) /* FMPI2C1 Alternate Function mapping */ +#define GPIO_AF9_CAN1 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_CAN2 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_QSPI ((uint8_t)0x09U) /* QSPI Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ +#define GPIO_AF10_DFSDM1 ((uint8_t)0x0AU) /* DFSDM1 Alternate Function mapping */ +#define GPIO_AF10_QSPI ((uint8_t)0x0AU) /* QSPI Alternate Function mapping */ +#define GPIO_AF10_FMC ((uint8_t)0x0AU) /* FMC Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ +#define GPIO_AF12_FSMC ((uint8_t)0x0CU) /* FMC Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ +#endif /* STM32F412Zx || STM32F412Vx || STM32F412Rx || STM32F412Cx */ + +/*----------------------------------------------------------------------------*/ + +/*--------------- STM32F413xx/STM32F423xx-------------------------------------*/ +#if defined(STM32F413xx) || defined(STM32F423xx) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ +#define GPIO_AF1_LPTIM1 ((uint8_t)0x01U) /* LPTIM1 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM8 ((uint8_t)0x03U) /* TIM8 Alternate Function mapping */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ +#define GPIO_AF3_DFSDM2 ((uint8_t)0x03U) /* DFSDM2 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ +#define GPIO_AF4_FMPI2C1 ((uint8_t)0x04U) /* FMPI2C1 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1/I2S1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_SPI3 ((uint8_t)0x05U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF5_SPI4 ((uint8_t)0x05U) /* SPI4/I2S4 Alternate Function mapping */ +#define GPIO_AF5_I2S3ext ((uint8_t)0x05U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI2 ((uint8_t)0x06U) /* I2S2 Alternate Function mapping */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_SPI4 ((uint8_t)0x06U) /* SPI4/I2S4 Alternate Function mapping */ +#define GPIO_AF6_SPI5 ((uint8_t)0x06U) /* SPI5/I2S5 Alternate Function mapping */ +#define GPIO_AF6_I2S2ext ((uint8_t)0x06U) /* I2S2ext_SD Alternate Function mapping */ +#define GPIO_AF6_DFSDM1 ((uint8_t)0x06U) /* DFSDM1 Alternate Function mapping */ +#define GPIO_AF6_DFSDM2 ((uint8_t)0x06U) /* DFSDM2 Alternate Function mapping */ +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_SPI3 ((uint8_t)0x07U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF7_SAI1 ((uint8_t)0x07U) /* SAI1 Alternate Function mapping */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_USART3 ((uint8_t)0x07U) /* USART3 Alternate Function mapping */ +#define GPIO_AF7_I2S3ext ((uint8_t)0x07U) /* I2S3ext_SD Alternate Function mapping */ +#define GPIO_AF7_DFSDM2 ((uint8_t)0x07U) /* DFSDM2 Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ +#define GPIO_AF8_USART3 ((uint8_t)0x08U) /* USART3 Alternate Function mapping */ +#define GPIO_AF8_UART4 ((uint8_t)0x08U) /* UART4 Alternate Function mapping */ +#define GPIO_AF8_UART5 ((uint8_t)0x08U) /* UART5 Alternate Function mapping */ +#define GPIO_AF8_UART7 ((uint8_t)0x08U) /* UART8 Alternate Function mapping */ +#define GPIO_AF8_UART8 ((uint8_t)0x08U) /* UART8 Alternate Function mapping */ +#define GPIO_AF8_DFSDM1 ((uint8_t)0x08U) /* DFSDM1 Alternate Function mapping */ +#define GPIO_AF8_CAN1 ((uint8_t)0x08U) /* CAN1 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_TIM12 ((uint8_t)0x09U) /* TIM12 Alternate Function mapping */ +#define GPIO_AF9_TIM13 ((uint8_t)0x09U) /* TIM13 Alternate Function mapping */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ +#define GPIO_AF9_I2C2 ((uint8_t)0x09U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF9_I2C3 ((uint8_t)0x09U) /* I2C3 Alternate Function mapping */ +#define GPIO_AF9_FMPI2C1 ((uint8_t)0x09U) /* FMPI2C1 Alternate Function mapping */ +#define GPIO_AF9_CAN1 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_CAN2 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_QSPI ((uint8_t)0x09U) /* QSPI Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_SAI1 ((uint8_t)0x0AU) /* SAI1 Alternate Function mapping */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ +#define GPIO_AF10_DFSDM1 ((uint8_t)0x0AU) /* DFSDM1 Alternate Function mapping */ +#define GPIO_AF10_DFSDM2 ((uint8_t)0x0AU) /* DFSDM2 Alternate Function mapping */ +#define GPIO_AF10_QSPI ((uint8_t)0x0AU) /* QSPI Alternate Function mapping */ +#define GPIO_AF10_FSMC ((uint8_t)0x0AU) /* FSMC Alternate Function mapping */ + +/** + * @brief AF 11 selection + */ +#define GPIO_AF11_UART4 ((uint8_t)0x0BU) /* UART4 Alternate Function mapping */ +#define GPIO_AF11_UART5 ((uint8_t)0x0BU) /* UART5 Alternate Function mapping */ +#define GPIO_AF11_UART9 ((uint8_t)0x0BU) /* UART9 Alternate Function mapping */ +#define GPIO_AF11_UART10 ((uint8_t)0x0BU) /* UART10 Alternate Function mapping */ +#define GPIO_AF11_CAN3 ((uint8_t)0x0BU) /* CAN3 Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ +#define GPIO_AF12_FSMC ((uint8_t)0x0CU) /* FMC Alternate Function mapping */ + +/** + * @brief AF 14 selection + */ +#define GPIO_AF14_RNG ((uint8_t)0x0EU) /* RNG Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ +#endif /* STM32F413xx || STM32F423xx */ + +/*---------------------------------------- STM32F411xx------------------------*/ +#if defined(STM32F411xE) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1/I2S1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_SPI3 ((uint8_t)0x05U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF5_SPI4 ((uint8_t)0x05U) /* SPI4 Alternate Function mapping */ +#define GPIO_AF5_I2S3ext ((uint8_t)0x05U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI2 ((uint8_t)0x06U) /* I2S2 Alternate Function mapping */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_SPI4 ((uint8_t)0x06U) /* SPI4/I2S4 Alternate Function mapping */ +#define GPIO_AF6_SPI5 ((uint8_t)0x06U) /* SPI5/I2S5 Alternate Function mapping */ +#define GPIO_AF6_I2S2ext ((uint8_t)0x06U) /* I2S2ext_SD Alternate Function mapping */ + +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_SPI3 ((uint8_t)0x07U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_I2S3ext ((uint8_t)0x07U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ +#define GPIO_AF9_I2C2 ((uint8_t)0x09U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF9_I2C3 ((uint8_t)0x09U) /* I2C3 Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ +#endif /* STM32F411xE */ + +/*---------------------------------------- STM32F410xx------------------------*/ +#if defined(STM32F410Tx) || defined(STM32F410Cx) || defined(STM32F410Rx) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_LPTIM1 ((uint8_t)0x01U) /* LPTIM1 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_FMPI2C1 ((uint8_t)0x04U) /* FMPI2C1 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1/I2S1 Alternate Function mapping */ +#if defined(STM32F410Cx) || defined(STM32F410Rx) +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#endif /* STM32F410Cx || STM32F410Rx */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI1 ((uint8_t)0x06U) /* SPI1 Alternate Function mapping */ +#if defined(STM32F410Cx) || defined(STM32F410Rx) +#define GPIO_AF6_SPI2 ((uint8_t)0x06U) /* I2S2 Alternate Function mapping */ +#endif /* STM32F410Cx || STM32F410Rx */ +#define GPIO_AF6_SPI5 ((uint8_t)0x06U) /* SPI5/I2S5 Alternate Function mapping */ +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_I2C2 ((uint8_t)0x09U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF9_FMPI2C1 ((uint8_t)0x09U) /* FMPI2C1 Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ +#endif /* STM32F410Tx || STM32F410Cx || STM32F410Rx */ + +/*---------------------------------------- STM32F446xx -----------------------*/ +#if defined(STM32F446xx) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM8 ((uint8_t)0x03U) /* TIM8 Alternate Function mapping */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ +#define GPIO_AF3_CEC ((uint8_t)0x03U) /* CEC Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ +#define GPIO_AF4_FMPI2C1 ((uint8_t)0x04U) /* FMPI2C1 Alternate Function mapping */ +#define GPIO_AF4_CEC ((uint8_t)0x04U) /* CEC Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1/I2S1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_SPI3 ((uint8_t)0x05U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF5_SPI4 ((uint8_t)0x05U) /* SPI4 Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI2 ((uint8_t)0x06U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_SPI4 ((uint8_t)0x06U) /* SPI4 Alternate Function mapping */ +#define GPIO_AF6_SAI1 ((uint8_t)0x06U) /* SAI1 Alternate Function mapping */ + +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_USART3 ((uint8_t)0x07U) /* USART3 Alternate Function mapping */ +#define GPIO_AF7_UART5 ((uint8_t)0x07U) /* UART5 Alternate Function mapping */ +#define GPIO_AF7_SPI2 ((uint8_t)0x07U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF7_SPI3 ((uint8_t)0x07U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF7_SPDIFRX ((uint8_t)0x07U) /* SPDIFRX Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_UART4 ((uint8_t)0x08U) /* UART4 Alternate Function mapping */ +#define GPIO_AF8_UART5 ((uint8_t)0x08U) /* UART5 Alternate Function mapping */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ +#define GPIO_AF8_SPDIFRX ((uint8_t)0x08U) /* SPDIFRX Alternate Function mapping */ +#define GPIO_AF8_SAI2 ((uint8_t)0x08U) /* SAI2 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_CAN1 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_CAN2 ((uint8_t)0x09U) /* CAN2 Alternate Function mapping */ +#define GPIO_AF9_TIM12 ((uint8_t)0x09U) /* TIM12 Alternate Function mapping */ +#define GPIO_AF9_TIM13 ((uint8_t)0x09U) /* TIM13 Alternate Function mapping */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ +#define GPIO_AF9_QSPI ((uint8_t)0x09U) /* QSPI Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ +#define GPIO_AF10_OTG_HS ((uint8_t)0x0AU) /* OTG_HS Alternate Function mapping */ +#define GPIO_AF10_SAI2 ((uint8_t)0x0AU) /* SAI2 Alternate Function mapping */ +#define GPIO_AF10_QSPI ((uint8_t)0x0AU) /* QSPI Alternate Function mapping */ + +/** + * @brief AF 11 selection + */ +#define GPIO_AF11_ETH ((uint8_t)0x0BU) /* ETHERNET Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_FMC ((uint8_t)0x0CU) /* FMC Alternate Function mapping */ +#define GPIO_AF12_OTG_HS_FS ((uint8_t)0x0CU) /* OTG HS configured in FS, Alternate Function mapping */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ + +/** + * @brief AF 13 selection + */ +#define GPIO_AF13_DCMI ((uint8_t)0x0DU) /* DCMI Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ + +#endif /* STM32F446xx */ +/*----------------------------------------------------------------------------*/ + +/*-------------------------------- STM32F469xx/STM32F479xx--------------------*/ +#if defined(STM32F469xx) || defined(STM32F479xx) +/** + * @brief AF 0 selection + */ +#define GPIO_AF0_RTC_50Hz ((uint8_t)0x00U) /* RTC_50Hz Alternate Function mapping */ +#define GPIO_AF0_MCO ((uint8_t)0x00U) /* MCO (MCO1 and MCO2) Alternate Function mapping */ +#define GPIO_AF0_TAMPER ((uint8_t)0x00U) /* TAMPER (TAMPER_1 and TAMPER_2) Alternate Function mapping */ +#define GPIO_AF0_SWJ ((uint8_t)0x00U) /* SWJ (SWD and JTAG) Alternate Function mapping */ +#define GPIO_AF0_TRACE ((uint8_t)0x00U) /* TRACE Alternate Function mapping */ + +/** + * @brief AF 1 selection + */ +#define GPIO_AF1_TIM1 ((uint8_t)0x01U) /* TIM1 Alternate Function mapping */ +#define GPIO_AF1_TIM2 ((uint8_t)0x01U) /* TIM2 Alternate Function mapping */ + +/** + * @brief AF 2 selection + */ +#define GPIO_AF2_TIM3 ((uint8_t)0x02U) /* TIM3 Alternate Function mapping */ +#define GPIO_AF2_TIM4 ((uint8_t)0x02U) /* TIM4 Alternate Function mapping */ +#define GPIO_AF2_TIM5 ((uint8_t)0x02U) /* TIM5 Alternate Function mapping */ + +/** + * @brief AF 3 selection + */ +#define GPIO_AF3_TIM8 ((uint8_t)0x03U) /* TIM8 Alternate Function mapping */ +#define GPIO_AF3_TIM9 ((uint8_t)0x03U) /* TIM9 Alternate Function mapping */ +#define GPIO_AF3_TIM10 ((uint8_t)0x03U) /* TIM10 Alternate Function mapping */ +#define GPIO_AF3_TIM11 ((uint8_t)0x03U) /* TIM11 Alternate Function mapping */ + +/** + * @brief AF 4 selection + */ +#define GPIO_AF4_I2C1 ((uint8_t)0x04U) /* I2C1 Alternate Function mapping */ +#define GPIO_AF4_I2C2 ((uint8_t)0x04U) /* I2C2 Alternate Function mapping */ +#define GPIO_AF4_I2C3 ((uint8_t)0x04U) /* I2C3 Alternate Function mapping */ + +/** + * @brief AF 5 selection + */ +#define GPIO_AF5_SPI1 ((uint8_t)0x05U) /* SPI1 Alternate Function mapping */ +#define GPIO_AF5_SPI2 ((uint8_t)0x05U) /* SPI2/I2S2 Alternate Function mapping */ +#define GPIO_AF5_SPI3 ((uint8_t)0x05U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF5_SPI4 ((uint8_t)0x05U) /* SPI4 Alternate Function mapping */ +#define GPIO_AF5_SPI5 ((uint8_t)0x05U) /* SPI5 Alternate Function mapping */ +#define GPIO_AF5_SPI6 ((uint8_t)0x05U) /* SPI6 Alternate Function mapping */ +#define GPIO_AF5_I2S3ext ((uint8_t)0x05U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 6 selection + */ +#define GPIO_AF6_SPI3 ((uint8_t)0x06U) /* SPI3/I2S3 Alternate Function mapping */ +#define GPIO_AF6_I2S2ext ((uint8_t)0x06U) /* I2S2ext_SD Alternate Function mapping */ +#define GPIO_AF6_SAI1 ((uint8_t)0x06U) /* SAI1 Alternate Function mapping */ + +/** + * @brief AF 7 selection + */ +#define GPIO_AF7_USART1 ((uint8_t)0x07U) /* USART1 Alternate Function mapping */ +#define GPIO_AF7_USART2 ((uint8_t)0x07U) /* USART2 Alternate Function mapping */ +#define GPIO_AF7_USART3 ((uint8_t)0x07U) /* USART3 Alternate Function mapping */ +#define GPIO_AF7_I2S3ext ((uint8_t)0x07U) /* I2S3ext_SD Alternate Function mapping */ + +/** + * @brief AF 8 selection + */ +#define GPIO_AF8_UART4 ((uint8_t)0x08U) /* UART4 Alternate Function mapping */ +#define GPIO_AF8_UART5 ((uint8_t)0x08U) /* UART5 Alternate Function mapping */ +#define GPIO_AF8_USART6 ((uint8_t)0x08U) /* USART6 Alternate Function mapping */ +#define GPIO_AF8_UART7 ((uint8_t)0x08U) /* UART7 Alternate Function mapping */ +#define GPIO_AF8_UART8 ((uint8_t)0x08U) /* UART8 Alternate Function mapping */ + +/** + * @brief AF 9 selection + */ +#define GPIO_AF9_CAN1 ((uint8_t)0x09U) /* CAN1 Alternate Function mapping */ +#define GPIO_AF9_CAN2 ((uint8_t)0x09U) /* CAN2 Alternate Function mapping */ +#define GPIO_AF9_TIM12 ((uint8_t)0x09U) /* TIM12 Alternate Function mapping */ +#define GPIO_AF9_TIM13 ((uint8_t)0x09U) /* TIM13 Alternate Function mapping */ +#define GPIO_AF9_TIM14 ((uint8_t)0x09U) /* TIM14 Alternate Function mapping */ +#define GPIO_AF9_LTDC ((uint8_t)0x09U) /* LCD-TFT Alternate Function mapping */ +#define GPIO_AF9_QSPI ((uint8_t)0x09U) /* QSPI Alternate Function mapping */ + +/** + * @brief AF 10 selection + */ +#define GPIO_AF10_OTG_FS ((uint8_t)0x0AU) /* OTG_FS Alternate Function mapping */ +#define GPIO_AF10_OTG_HS ((uint8_t)0x0AU) /* OTG_HS Alternate Function mapping */ +#define GPIO_AF10_QSPI ((uint8_t)0x0AU) /* QSPI Alternate Function mapping */ + +/** + * @brief AF 11 selection + */ +#define GPIO_AF11_ETH ((uint8_t)0x0BU) /* ETHERNET Alternate Function mapping */ + +/** + * @brief AF 12 selection + */ +#define GPIO_AF12_FMC ((uint8_t)0x0CU) /* FMC Alternate Function mapping */ +#define GPIO_AF12_OTG_HS_FS ((uint8_t)0x0CU) /* OTG HS configured in FS, Alternate Function mapping */ +#define GPIO_AF12_SDIO ((uint8_t)0x0CU) /* SDIO Alternate Function mapping */ + +/** + * @brief AF 13 selection + */ +#define GPIO_AF13_DCMI ((uint8_t)0x0DU) /* DCMI Alternate Function mapping */ +#define GPIO_AF13_DSI ((uint8_t)0x0DU) /* DSI Alternate Function mapping */ + +/** + * @brief AF 14 selection + */ +#define GPIO_AF14_LTDC ((uint8_t)0x0EU) /* LCD-TFT Alternate Function mapping */ + +/** + * @brief AF 15 selection + */ +#define GPIO_AF15_EVENTOUT ((uint8_t)0x0FU) /* EVENTOUT Alternate Function mapping */ + +#endif /* STM32F469xx || STM32F479xx */ +/*----------------------------------------------------------------------------*/ +/** + * @} + */ + +/** + * @} + */ + +/* Exported macro ------------------------------------------------------------*/ +/** @defgroup GPIOEx_Exported_Macros GPIO Exported Macros + * @{ + */ +/** + * @} + */ + +/* Exported functions --------------------------------------------------------*/ +/** @defgroup GPIOEx_Exported_Functions GPIO Exported Functions + * @{ + */ +/** + * @} + */ + +/* Private types -------------------------------------------------------------*/ +/* Private variables ---------------------------------------------------------*/ +/* Private constants ---------------------------------------------------------*/ +/** @defgroup GPIOEx_Private_Constants GPIO Private Constants + * @{ + */ +/** + * @} + */ + +/* Private macros ------------------------------------------------------------*/ +/** @defgroup GPIOEx_Private_Macros GPIO Private Macros + * @{ + */ +/** @defgroup GPIOEx_Get_Port_Index GPIO Get Port Index + * @{ + */ +#if defined(STM32F405xx) || defined(STM32F415xx) || defined(STM32F407xx) || defined(STM32F417xx) +#define GPIO_GET_INDEX(__GPIOx__) (uint8_t)(((__GPIOx__) == (GPIOA))? 0U :\ + ((__GPIOx__) == (GPIOB))? 1U :\ + ((__GPIOx__) == (GPIOC))? 2U :\ + ((__GPIOx__) == (GPIOD))? 3U :\ + ((__GPIOx__) == (GPIOE))? 4U :\ + ((__GPIOx__) == (GPIOF))? 5U :\ + ((__GPIOx__) == (GPIOG))? 6U :\ + ((__GPIOx__) == (GPIOH))? 7U : 8U) +#endif /* STM32F405xx || STM32F415xx || STM32F407xx || STM32F417xx */ + +#if defined(STM32F427xx) || defined(STM32F437xx) || defined(STM32F429xx) || defined(STM32F439xx) ||\ + defined(STM32F469xx) || defined(STM32F479xx) +#define GPIO_GET_INDEX(__GPIOx__) (uint8_t)(((__GPIOx__) == (GPIOA))? 0U :\ + ((__GPIOx__) == (GPIOB))? 1U :\ + ((__GPIOx__) == (GPIOC))? 2U :\ + ((__GPIOx__) == (GPIOD))? 3U :\ + ((__GPIOx__) == (GPIOE))? 4U :\ + ((__GPIOx__) == (GPIOF))? 5U :\ + ((__GPIOx__) == (GPIOG))? 6U :\ + ((__GPIOx__) == (GPIOH))? 7U :\ + ((__GPIOx__) == (GPIOI))? 8U :\ + ((__GPIOx__) == (GPIOJ))? 9U : 10U) +#endif /* STM32F427xx || STM32F437xx || STM32F429xx || STM32F439xx || STM32F469xx || STM32F479xx */ + +#if defined(STM32F410Tx) || defined(STM32F410Cx) || defined(STM32F410Rx) +#define GPIO_GET_INDEX(__GPIOx__) (uint8_t)(((__GPIOx__) == (GPIOA))? 0U :\ + ((__GPIOx__) == (GPIOB))? 1U :\ + ((__GPIOx__) == (GPIOC))? 2U : 7U) +#endif /* STM32F410Tx || STM32F410Cx || STM32F410Rx */ + +#if defined(STM32F401xC) || defined(STM32F401xE) || defined(STM32F411xE) +#define GPIO_GET_INDEX(__GPIOx__) (uint8_t)(((__GPIOx__) == (GPIOA))? 0U :\ + ((__GPIOx__) == (GPIOB))? 1U :\ + ((__GPIOx__) == (GPIOC))? 2U :\ + ((__GPIOx__) == (GPIOD))? 3U :\ + ((__GPIOx__) == (GPIOE))? 4U : 7U) +#endif /* STM32F401xC || STM32F401xE || STM32F411xE */ + +#if defined(STM32F446xx) || defined(STM32F412Zx) ||defined(STM32F412Vx) || defined(STM32F412Rx) || defined(STM32F412Cx) || defined(STM32F413xx) || defined(STM32F423xx) +#define GPIO_GET_INDEX(__GPIOx__) (uint8_t)(((__GPIOx__) == (GPIOA))? 0U :\ + ((__GPIOx__) == (GPIOB))? 1U :\ + ((__GPIOx__) == (GPIOC))? 2U :\ + ((__GPIOx__) == (GPIOD))? 3U :\ + ((__GPIOx__) == (GPIOE))? 4U :\ + ((__GPIOx__) == (GPIOF))? 5U :\ + ((__GPIOx__) == (GPIOG))? 6U : 7U) +#endif /* STM32F446xx || STM32F412Zx || STM32F412Vx || STM32F412Rx || STM32F412Cx || STM32F413xx || STM32F423xx */ + +/** + * @} + */ + +/** @defgroup GPIOEx_IS_Alternat_function_selection GPIO Check Alternate Function + * @{ + */ +/*------------------------- STM32F429xx/STM32F439xx---------------------------*/ +#if defined(STM32F429xx) || defined(STM32F439xx) +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF3_TIM8) || \ + ((AF) == GPIO_AF4_I2C1) || ((AF) == GPIO_AF4_I2C2) || \ + ((AF) == GPIO_AF4_I2C3) || ((AF) == GPIO_AF5_SPI1) || \ + ((AF) == GPIO_AF5_SPI2) || ((AF) == GPIO_AF9_TIM13) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF9_TIM12) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF7_USART3) || ((AF) == GPIO_AF8_UART4) || \ + ((AF) == GPIO_AF8_UART5) || ((AF) == GPIO_AF8_USART6) || \ + ((AF) == GPIO_AF9_CAN1) || ((AF) == GPIO_AF9_CAN2) || \ + ((AF) == GPIO_AF10_OTG_FS) || ((AF) == GPIO_AF10_OTG_HS) || \ + ((AF) == GPIO_AF11_ETH) || ((AF) == GPIO_AF12_OTG_HS_FS) || \ + ((AF) == GPIO_AF12_SDIO) || ((AF) == GPIO_AF13_DCMI) || \ + ((AF) == GPIO_AF15_EVENTOUT) || ((AF) == GPIO_AF5_SPI4) || \ + ((AF) == GPIO_AF5_SPI5) || ((AF) == GPIO_AF5_SPI6) || \ + ((AF) == GPIO_AF8_UART7) || ((AF) == GPIO_AF8_UART8) || \ + ((AF) == GPIO_AF12_FMC) || ((AF) == GPIO_AF6_SAI1) || \ + ((AF) == GPIO_AF14_LTDC)) + +#endif /* STM32F429xx || STM32F439xx */ +/*----------------------------------------------------------------------------*/ + +/*---------------------------------- STM32F427xx/STM32F437xx------------------*/ +#if defined(STM32F427xx) || defined(STM32F437xx) +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF3_TIM8) || \ + ((AF) == GPIO_AF4_I2C1) || ((AF) == GPIO_AF4_I2C2) || \ + ((AF) == GPIO_AF4_I2C3) || ((AF) == GPIO_AF5_SPI1) || \ + ((AF) == GPIO_AF5_SPI2) || ((AF) == GPIO_AF9_TIM13) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF9_TIM12) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF7_USART3) || ((AF) == GPIO_AF8_UART4) || \ + ((AF) == GPIO_AF8_UART5) || ((AF) == GPIO_AF8_USART6) || \ + ((AF) == GPIO_AF9_CAN1) || ((AF) == GPIO_AF9_CAN2) || \ + ((AF) == GPIO_AF10_OTG_FS) || ((AF) == GPIO_AF10_OTG_HS) || \ + ((AF) == GPIO_AF11_ETH) || ((AF) == GPIO_AF12_OTG_HS_FS) || \ + ((AF) == GPIO_AF12_SDIO) || ((AF) == GPIO_AF13_DCMI) || \ + ((AF) == GPIO_AF15_EVENTOUT) || ((AF) == GPIO_AF5_SPI4) || \ + ((AF) == GPIO_AF5_SPI5) || ((AF) == GPIO_AF5_SPI6) || \ + ((AF) == GPIO_AF8_UART7) || ((AF) == GPIO_AF8_UART8) || \ + ((AF) == GPIO_AF12_FMC) || ((AF) == GPIO_AF6_SAI1)) + +#endif /* STM32F427xx || STM32F437xx */ +/*----------------------------------------------------------------------------*/ + +/*---------------------------------- STM32F407xx/STM32F417xx------------------*/ +#if defined(STM32F407xx) || defined(STM32F417xx) +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF3_TIM8) || \ + ((AF) == GPIO_AF4_I2C1) || ((AF) == GPIO_AF4_I2C2) || \ + ((AF) == GPIO_AF4_I2C3) || ((AF) == GPIO_AF5_SPI1) || \ + ((AF) == GPIO_AF5_SPI2) || ((AF) == GPIO_AF9_TIM13) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF9_TIM12) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF7_USART3) || ((AF) == GPIO_AF8_UART4) || \ + ((AF) == GPIO_AF8_UART5) || ((AF) == GPIO_AF8_USART6) || \ + ((AF) == GPIO_AF9_CAN1) || ((AF) == GPIO_AF9_CAN2) || \ + ((AF) == GPIO_AF10_OTG_FS) || ((AF) == GPIO_AF10_OTG_HS) || \ + ((AF) == GPIO_AF11_ETH) || ((AF) == GPIO_AF12_OTG_HS_FS) || \ + ((AF) == GPIO_AF12_SDIO) || ((AF) == GPIO_AF13_DCMI) || \ + ((AF) == GPIO_AF12_FSMC) || ((AF) == GPIO_AF15_EVENTOUT)) + +#endif /* STM32F407xx || STM32F417xx */ +/*----------------------------------------------------------------------------*/ + +/*---------------------------------- STM32F405xx/STM32F415xx------------------*/ +#if defined(STM32F405xx) || defined(STM32F415xx) +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF3_TIM8) || \ + ((AF) == GPIO_AF4_I2C1) || ((AF) == GPIO_AF4_I2C2) || \ + ((AF) == GPIO_AF4_I2C3) || ((AF) == GPIO_AF5_SPI1) || \ + ((AF) == GPIO_AF5_SPI2) || ((AF) == GPIO_AF9_TIM13) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF9_TIM12) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF7_USART3) || ((AF) == GPIO_AF8_UART4) || \ + ((AF) == GPIO_AF8_UART5) || ((AF) == GPIO_AF8_USART6) || \ + ((AF) == GPIO_AF9_CAN1) || ((AF) == GPIO_AF9_CAN2) || \ + ((AF) == GPIO_AF10_OTG_FS) || ((AF) == GPIO_AF10_OTG_HS) || \ + ((AF) == GPIO_AF12_OTG_HS_FS) || ((AF) == GPIO_AF12_SDIO) || \ + ((AF) == GPIO_AF12_FSMC) || ((AF) == GPIO_AF15_EVENTOUT)) + +#endif /* STM32F405xx || STM32F415xx */ + +/*----------------------------------------------------------------------------*/ + +/*---------------------------------------- STM32F401xx------------------------*/ +#if defined(STM32F401xC) || defined(STM32F401xE) +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF4_I2C1) || \ + ((AF) == GPIO_AF4_I2C2) || ((AF) == GPIO_AF4_I2C3) || \ + ((AF) == GPIO_AF5_SPI1) || ((AF) == GPIO_AF5_SPI2) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF5_SPI4) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF8_USART6) || ((AF) == GPIO_AF10_OTG_FS) || \ + ((AF) == GPIO_AF9_I2C2) || ((AF) == GPIO_AF9_I2C3) || \ + ((AF) == GPIO_AF12_SDIO) || ((AF) == GPIO_AF15_EVENTOUT)) + +#endif /* STM32F401xC || STM32F401xE */ +/*----------------------------------------------------------------------------*/ +/*---------------------------------------- STM32F410xx------------------------*/ +#if defined(STM32F410Tx) || defined(STM32F410Cx) || defined(STM32F410Rx) +#define IS_GPIO_AF(AF) (((AF) < 10U) || ((AF) == 15U)) +#endif /* STM32F410Tx || STM32F410Cx || STM32F410Rx */ + +/*---------------------------------------- STM32F411xx------------------------*/ +#if defined(STM32F411xE) +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF4_I2C1) || \ + ((AF) == GPIO_AF4_I2C2) || ((AF) == GPIO_AF4_I2C3) || \ + ((AF) == GPIO_AF5_SPI1) || ((AF) == GPIO_AF5_SPI2) || \ + ((AF) == GPIO_AF5_SPI3) || ((AF) == GPIO_AF6_SPI4) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF5_SPI4) || \ + ((AF) == GPIO_AF6_SPI5) || ((AF) == GPIO_AF7_SPI3) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF8_USART6) || ((AF) == GPIO_AF10_OTG_FS) || \ + ((AF) == GPIO_AF9_I2C2) || ((AF) == GPIO_AF9_I2C3) || \ + ((AF) == GPIO_AF12_SDIO) || ((AF) == GPIO_AF15_EVENTOUT)) + +#endif /* STM32F411xE */ +/*----------------------------------------------------------------------------*/ + +/*----------------------------------------------- STM32F446xx ----------------*/ +#if defined(STM32F446xx) +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF3_TIM8) || \ + ((AF) == GPIO_AF4_I2C1) || ((AF) == GPIO_AF4_I2C2) || \ + ((AF) == GPIO_AF4_I2C3) || ((AF) == GPIO_AF5_SPI1) || \ + ((AF) == GPIO_AF5_SPI2) || ((AF) == GPIO_AF9_TIM13) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF9_TIM12) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF7_USART3) || ((AF) == GPIO_AF8_UART4) || \ + ((AF) == GPIO_AF8_UART5) || ((AF) == GPIO_AF8_USART6) || \ + ((AF) == GPIO_AF9_CAN1) || ((AF) == GPIO_AF9_CAN2) || \ + ((AF) == GPIO_AF10_OTG_FS) || ((AF) == GPIO_AF10_OTG_HS) || \ + ((AF) == GPIO_AF11_ETH) || ((AF) == GPIO_AF12_OTG_HS_FS) || \ + ((AF) == GPIO_AF12_SDIO) || ((AF) == GPIO_AF13_DCMI) || \ + ((AF) == GPIO_AF15_EVENTOUT) || ((AF) == GPIO_AF5_SPI4) || \ + ((AF) == GPIO_AF12_FMC) || ((AF) == GPIO_AF6_SAI1) || \ + ((AF) == GPIO_AF3_CEC) || ((AF) == GPIO_AF4_CEC) || \ + ((AF) == GPIO_AF5_SPI3) || ((AF) == GPIO_AF6_SPI2) || \ + ((AF) == GPIO_AF6_SPI4) || ((AF) == GPIO_AF7_UART5) || \ + ((AF) == GPIO_AF7_SPI2) || ((AF) == GPIO_AF7_SPI3) || \ + ((AF) == GPIO_AF7_SPDIFRX) || ((AF) == GPIO_AF8_SPDIFRX) || \ + ((AF) == GPIO_AF8_SAI2) || ((AF) == GPIO_AF9_QSPI) || \ + ((AF) == GPIO_AF10_SAI2) || ((AF) == GPIO_AF10_QSPI)) + +#endif /* STM32F446xx */ +/*----------------------------------------------------------------------------*/ + +/*------------------------------------------- STM32F469xx/STM32F479xx --------*/ +#if defined(STM32F469xx) || defined(STM32F479xx) +#define IS_GPIO_AF(AF) (((AF) == GPIO_AF0_RTC_50Hz) || ((AF) == GPIO_AF9_TIM14) || \ + ((AF) == GPIO_AF0_MCO) || ((AF) == GPIO_AF0_TAMPER) || \ + ((AF) == GPIO_AF0_SWJ) || ((AF) == GPIO_AF0_TRACE) || \ + ((AF) == GPIO_AF1_TIM1) || ((AF) == GPIO_AF1_TIM2) || \ + ((AF) == GPIO_AF2_TIM3) || ((AF) == GPIO_AF2_TIM4) || \ + ((AF) == GPIO_AF2_TIM5) || ((AF) == GPIO_AF3_TIM8) || \ + ((AF) == GPIO_AF4_I2C1) || ((AF) == GPIO_AF4_I2C2) || \ + ((AF) == GPIO_AF4_I2C3) || ((AF) == GPIO_AF5_SPI1) || \ + ((AF) == GPIO_AF5_SPI2) || ((AF) == GPIO_AF9_TIM13) || \ + ((AF) == GPIO_AF6_SPI3) || ((AF) == GPIO_AF9_TIM12) || \ + ((AF) == GPIO_AF7_USART1) || ((AF) == GPIO_AF7_USART2) || \ + ((AF) == GPIO_AF7_USART3) || ((AF) == GPIO_AF8_UART4) || \ + ((AF) == GPIO_AF8_UART5) || ((AF) == GPIO_AF8_USART6) || \ + ((AF) == GPIO_AF9_CAN1) || ((AF) == GPIO_AF9_CAN2) || \ + ((AF) == GPIO_AF10_OTG_FS) || ((AF) == GPIO_AF10_OTG_HS) || \ + ((AF) == GPIO_AF11_ETH) || ((AF) == GPIO_AF12_OTG_HS_FS) || \ + ((AF) == GPIO_AF12_SDIO) || ((AF) == GPIO_AF13_DCMI) || \ + ((AF) == GPIO_AF15_EVENTOUT) || ((AF) == GPIO_AF5_SPI4) || \ + ((AF) == GPIO_AF5_SPI5) || ((AF) == GPIO_AF5_SPI6) || \ + ((AF) == GPIO_AF8_UART7) || ((AF) == GPIO_AF8_UART8) || \ + ((AF) == GPIO_AF12_FMC) || ((AF) == GPIO_AF6_SAI1) || \ + ((AF) == GPIO_AF14_LTDC) || ((AF) == GPIO_AF13_DSI) || \ + ((AF) == GPIO_AF9_QSPI) || ((AF) == GPIO_AF10_QSPI)) + +#endif /* STM32F469xx || STM32F479xx */ +/*----------------------------------------------------------------------------*/ + +/*------------------STM32F412Zx/STM32F412Vx/STM32F412Rx/STM32F412Cx-----------*/ +#if defined(STM32F412Zx) || defined(STM32F412Vx) || defined(STM32F412Rx) || defined(STM32F412Cx) +#define IS_GPIO_AF(AF) (((AF) < 16U) && ((AF) != 11U) && ((AF) != 14U) && ((AF) != 13U)) +#endif /* STM32F412Zx || STM32F412Vx || STM32F412Rx || STM32F412Cx */ +/*----------------------------------------------------------------------------*/ + +/*------------------STM32F413xx/STM32F423xx-----------------------------------*/ +#if defined(STM32F413xx) || defined(STM32F423xx) +#define IS_GPIO_AF(AF) (((AF) < 16U) && ((AF) != 13U)) +#endif /* STM32F413xx || STM32F423xx */ +/*----------------------------------------------------------------------------*/ + +/** + * @} + */ + +/** + * @} + */ + +/* Private functions ---------------------------------------------------------*/ +/** @defgroup GPIOEx_Private_Functions GPIO Private Functions + * @{ + */ + +/** + * @} + */ + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif /* __STM32F4xx_HAL_GPIO_EX_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/inc/system_stm32f2xx.h b/board/inc/system_stm32f2xx.h new file mode 100644 index 0000000..7b3fdb8 --- /dev/null +++ b/board/inc/system_stm32f2xx.h @@ -0,0 +1,122 @@ +/** + ****************************************************************************** + * @file system_stm32f2xx.h + * @author MCD Application Team + * @version V2.1.2 + * @date 29-June-2016 + * @brief CMSIS Cortex-M3 Device System Source File for STM32F2xx devices. +****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32f2xx_system + * @{ + */ + +/** + * @brief Define to prevent recursive inclusion + */ +#ifndef __SYSTEM_STM32F2XX_H +#define __SYSTEM_STM32F2XX_H + +#ifdef __cplusplus + extern "C" { +#endif + +/** @addtogroup STM32F2xx_System_Includes + * @{ + */ + +/** + * @} + */ + + +/** @addtogroup STM32F2xx_System_Exported_types + * @{ + */ + /* This variable is updated in three ways: + 1) by calling CMSIS function SystemCoreClockUpdate() + 2) by calling HAL API function HAL_RCC_GetSysClockFreq() + 3) each time HAL_RCC_ClockConfig() is called to configure the system clock frequency + Note: If you use this function to configure the system clock; then there + is no need to call the 2 first functions listed above, since SystemCoreClock + variable is updated automatically. + */ +extern uint32_t SystemCoreClock; /*!< System Clock Frequency (Core Clock) */ + + +/** + * @} + */ + +/** @addtogroup STM32F2xx_System_Exported_Constants + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32F2xx_System_Exported_Macros + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32F2xx_System_Exported_Functions + * @{ + */ + +extern void SystemInit(void); +extern void SystemCoreClockUpdate(void); +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif /*__SYSTEM_STM32F2XX_H */ + +/** + * @} + */ + +/** + * @} + */ +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/inc/system_stm32f4xx.h b/board/inc/system_stm32f4xx.h new file mode 100644 index 0000000..369b605 --- /dev/null +++ b/board/inc/system_stm32f4xx.h @@ -0,0 +1,124 @@ +/** + ****************************************************************************** + * @file system_stm32f4xx.h + * @author MCD Application Team + * @version V2.6.0 + * @date 04-November-2016 + * @brief CMSIS Cortex-M4 Device System Source File for STM32F4xx devices. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT(c) 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + +/** @addtogroup CMSIS + * @{ + */ + +/** @addtogroup stm32f4xx_system + * @{ + */ + +/** + * @brief Define to prevent recursive inclusion + */ +#ifndef __SYSTEM_STM32F4XX_H +#define __SYSTEM_STM32F4XX_H + +#ifdef __cplusplus + extern "C" { +#endif + +/** @addtogroup STM32F4xx_System_Includes + * @{ + */ + +/** + * @} + */ + + +/** @addtogroup STM32F4xx_System_Exported_types + * @{ + */ + /* This variable is updated in three ways: + 1) by calling CMSIS function SystemCoreClockUpdate() + 2) by calling HAL API function HAL_RCC_GetSysClockFreq() + 3) each time HAL_RCC_ClockConfig() is called to configure the system clock frequency + Note: If you use this function to configure the system clock; then there + is no need to call the 2 first functions listed above, since SystemCoreClock + variable is updated automatically. + */ +extern uint32_t SystemCoreClock; /*!< System Clock Frequency (Core Clock) */ + +extern const uint8_t AHBPrescTable[16]; /*!< AHB prescalers table values */ +extern const uint8_t APBPrescTable[8]; /*!< APB prescalers table values */ + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Exported_Constants + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Exported_Macros + * @{ + */ + +/** + * @} + */ + +/** @addtogroup STM32F4xx_System_Exported_Functions + * @{ + */ + +extern void SystemInit(void); +extern void SystemCoreClockUpdate(void); +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif /*__SYSTEM_STM32F4XX_H */ + +/** + * @} + */ + +/** + * @} + */ +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/libc.h b/board/libc.h new file mode 100644 index 0000000..9640b99 --- /dev/null +++ b/board/libc.h @@ -0,0 +1,378 @@ +#ifdef STM32F4 + #include "stm32f4xx_hal_gpio_ex.h" +#else + #include "stm32f2xx_hal_gpio_ex.h" +#endif + +#define min(a,b) \ + ({ __typeof__ (a) _a = (a); \ + __typeof__ (b) _b = (b); \ + _a < _b ? _a : _b; }) + +#define max(a,b) \ + ({ __typeof__ (a) _a = (a); \ + __typeof__ (b) _b = (b); \ + _a > _b ? _a : _b; }) + +#define __DIV(_PCLK_, _BAUD_) (((_PCLK_)*25)/(4*(_BAUD_))) +#define __DIVMANT(_PCLK_, _BAUD_) (__DIV((_PCLK_), (_BAUD_))/100) +#define __DIVFRAQ(_PCLK_, _BAUD_) (((__DIV((_PCLK_), (_BAUD_)) - (__DIVMANT((_PCLK_), (_BAUD_)) * 100)) * 16 + 50) / 100) +#define __USART_BRR(_PCLK_, _BAUD_) ((__DIVMANT((_PCLK_), (_BAUD_)) << 4)|(__DIVFRAQ((_PCLK_), (_BAUD_)) & 0x0F)) + +// **** shitty libc **** + +void clock_init() { + #ifdef USE_INTERNAL_OSC + // enable internal oscillator + RCC->CR |= RCC_CR_HSION; + while ((RCC->CR & RCC_CR_HSIRDY) == 0); + #else + // enable external oscillator + RCC->CR |= RCC_CR_HSEON; + while ((RCC->CR & RCC_CR_HSERDY) == 0); + #endif + + // divide shit + RCC->CFGR = RCC_CFGR_HPRE_DIV1 | RCC_CFGR_PPRE2_DIV2 | RCC_CFGR_PPRE1_DIV4; + #ifdef USE_INTERNAL_OSC + RCC->PLLCFGR = RCC_PLLCFGR_PLLQ_2 | RCC_PLLCFGR_PLLM_3 | + RCC_PLLCFGR_PLLN_6 | RCC_PLLCFGR_PLLN_5 | RCC_PLLCFGR_PLLSRC_HSI; + #else + #ifdef PANDA + RCC->PLLCFGR = RCC_PLLCFGR_PLLQ_2 | RCC_PLLCFGR_PLLM_3 | + RCC_PLLCFGR_PLLN_6 | RCC_PLLCFGR_PLLN_5 | RCC_PLLCFGR_PLLSRC_HSE; + #else + RCC->PLLCFGR = RCC_PLLCFGR_PLLQ_2 | RCC_PLLCFGR_PLLM_3 | + RCC_PLLCFGR_PLLN_7 | RCC_PLLCFGR_PLLN_6 | RCC_PLLCFGR_PLLSRC_HSE; + #endif + #endif + + // start PLL + RCC->CR |= RCC_CR_PLLON; + while ((RCC->CR & RCC_CR_PLLRDY) == 0); + + // Configure Flash prefetch, Instruction cache, Data cache and wait state + // *** without this, it breaks *** + FLASH->ACR = FLASH_ACR_ICEN | FLASH_ACR_DCEN | FLASH_ACR_LATENCY_5WS; + + // switch to PLL + RCC->CFGR |= RCC_CFGR_SW_PLL; + while ((RCC->CFGR & RCC_CFGR_SWS) != RCC_CFGR_SWS_PLL); + + // *** running on PLL *** + + // enable GPIOB, UART2, CAN, USB clock + RCC->AHB1ENR |= RCC_AHB1ENR_GPIOAEN; + RCC->AHB1ENR |= RCC_AHB1ENR_GPIOBEN; + RCC->AHB1ENR |= RCC_AHB1ENR_GPIOCEN; + RCC->AHB1ENR |= RCC_AHB1ENR_GPIODEN; + + RCC->AHB1ENR |= RCC_AHB1ENR_DMA2EN; + RCC->APB1ENR |= RCC_APB1ENR_USART2EN; + RCC->APB1ENR |= RCC_APB1ENR_USART3EN; + #ifdef PANDA + RCC->APB1ENR |= RCC_APB1ENR_UART5EN; + #endif + RCC->APB1ENR |= RCC_APB1ENR_CAN1EN; + RCC->APB1ENR |= RCC_APB1ENR_CAN2EN; + #ifdef CAN3 + RCC->APB1ENR |= RCC_APB1ENR_CAN3EN; + #endif + RCC->APB1ENR |= RCC_APB1ENR_DACEN; + RCC->APB1ENR |= RCC_APB1ENR_TIM3EN; + //RCC->APB1ENR |= RCC_APB1ENR_TIM4EN; + RCC->APB2ENR |= RCC_APB2ENR_USART1EN; + RCC->AHB2ENR |= RCC_AHB2ENR_OTGFSEN; + RCC->APB2ENR |= RCC_APB2ENR_TIM1EN; + RCC->APB2ENR |= RCC_APB2ENR_ADC1EN; + RCC->APB2ENR |= RCC_APB2ENR_SPI1EN; + + // needed? + RCC->APB2ENR |= RCC_APB2ENR_SYSCFGEN; + + // fix interrupt vectors +} + +void set_can2_mode(int use_gmlan) { + uint32_t speed; + + // connects to CAN2 xcvr or GMLAN xcvr + if (use_gmlan) { + // disable normal mode + GPIOB->MODER &= ~(GPIO_MODER_MODER5_1 | GPIO_MODER_MODER6_1); + GPIOB->AFR[0] &= ~(GPIO_AF9_CAN2 << (5*4) | GPIO_AF9_CAN2 << (6*4)); + + // gmlan mode + GPIOB->MODER |= GPIO_MODER_MODER12_1 | GPIO_MODER_MODER13_1; + GPIOB->AFR[1] |= GPIO_AF9_CAN2 << ((12-8)*4) | GPIO_AF9_CAN2 << ((13-8)*4); + + /* GMLAN mode pins: + M0(B15) M1(B14) mode + ======================= + 0 0 sleep + 1 0 100kbit + 0 1 high voltage wakeup + 1 1 33kbit (normal) + */ + GPIOB->ODR |= (1 << 15) | (1 << 14); + GPIOB->MODER |= GPIO_MODER_MODER14_0 | GPIO_MODER_MODER15_0; + + // 83.3 kbps + //speed = 0x001c0011; + + // 33.3 kbps + speed = 0x001c002d; + } else { + // disable GMLAN + GPIOB->MODER &= ~(GPIO_MODER_MODER12_1 | GPIO_MODER_MODER13_1); + GPIOB->AFR[1] &= ~(GPIO_AF9_CAN2 << ((12-8)*4) | GPIO_AF9_CAN2 << ((13-8)*4)); + + // normal mode + GPIOB->MODER |= GPIO_MODER_MODER5_1 | GPIO_MODER_MODER6_1; + GPIOB->AFR[0] |= GPIO_AF9_CAN2 << (5*4) | GPIO_AF9_CAN2 << (6*4); + + speed = 0x001c0002; + } + + // init + CAN2->MCR = CAN_MCR_TTCM | CAN_MCR_INRQ; + while((CAN2->MSR & CAN_MSR_INAK) != CAN_MSR_INAK); + + // set speed + CAN2->BTR = speed; + + // running + CAN2->MCR = CAN_MCR_TTCM; + while((CAN2->MSR & CAN_MSR_INAK) == CAN_MSR_INAK); +} + +// board specific +void gpio_init() { + // pull low to hold ESP in reset?? + // enable OTG out tied to ground + GPIOA->ODR = 0; + GPIOB->ODR = 0; + GPIOA->PUPDR = 0; + //GPIOC->ODR = 0; + GPIOB->AFR[0] = 0; + GPIOB->AFR[1] = 0; + + // enable USB power tied to + + GPIOA->MODER = GPIO_MODER_MODER0_0; + + // always set to zero, ESP in boot mode and reset + //GPIOB->MODER = GPIO_MODER_MODER0_0; + + // analog mode + GPIOC->MODER |= GPIO_MODER_MODER3 | GPIO_MODER_MODER2; + //GPIOC->MODER |= GPIO_MODER_MODER1 | GPIO_MODER_MODER0; + + // FAN on C9, aka TIM3_CH4 + GPIOC->MODER |= GPIO_MODER_MODER8_1; + GPIOC->AFR[1] = GPIO_AF2_TIM3 << ((8-8)*4); + // IGNITION on C13 + + #ifdef PANDA + // turn off LEDs and set mode + GPIOC->ODR |= (1 << 6) | (1 << 7) | (1 << 9); + GPIOC->MODER |= GPIO_MODER_MODER6_0 | GPIO_MODER_MODER7_0 | GPIO_MODER_MODER9_0; + + // set mode for ESP_RST line and enable it + // (done in init_hardware_early + //GPIOC->ODR |= (1 << 14) | (1 << 5); + //GPIOC->MODER |= GPIO_MODER_MODER14_0 | GPIO_MODER_MODER5_0; + + // panda CAN enables + GPIOC->ODR |= (1 << 13) | (1 << 1); + GPIOC->MODER |= GPIO_MODER_MODER13_0 | GPIO_MODER_MODER1_0; + + // enable started_alt + GPIOA->PUPDR |= GPIO_PUPDR_PUPDR1_0; + #else + // turn off LEDs and set mode + GPIOB->ODR = (1 << 10) | (1 << 11) | (1 << 12); + GPIOB->MODER = GPIO_MODER_MODER10_0 | GPIO_MODER_MODER11_0 | GPIO_MODER_MODER12_0; + + // non panda CAN enables + GPIOB->MODER |= GPIO_MODER_MODER3_0 | GPIO_MODER_MODER7_0; + #endif + + // CAN 2 in normal mode + set_can2_mode(0); + + // CAN 1 + GPIOB->MODER |= GPIO_MODER_MODER8_1 | GPIO_MODER_MODER9_1; +#ifdef STM32F4 + GPIOB->AFR[1] |= GPIO_AF8_CAN1 << ((8-8)*4) | GPIO_AF8_CAN1 << ((9-8)*4); +#else + GPIOB->AFR[1] |= GPIO_AF9_CAN1 << ((8-8)*4) | GPIO_AF9_CAN1 << ((9-8)*4); +#endif + + // K enable + L enable + GPIOB->ODR |= (1 << 4); + GPIOB->MODER |= GPIO_MODER_MODER4_0; + GPIOA->ODR |= (1 << 14); + GPIOA->MODER |= GPIO_MODER_MODER14_0; + + // USART 2 for debugging + GPIOA->MODER |= GPIO_MODER_MODER2_1 | GPIO_MODER_MODER3_1; + GPIOA->AFR[0] = GPIO_AF7_USART2 << (2*4) | GPIO_AF7_USART2 << (3*4); + + // USART 1 for talking to the ESP + GPIOA->MODER |= GPIO_MODER_MODER9_1 | GPIO_MODER_MODER10_1; + GPIOA->AFR[1] = GPIO_AF7_USART1 << ((9-8)*4) | GPIO_AF7_USART1 << ((10-8)*4); + + // USART 3 is L-Line + GPIOC->MODER |= GPIO_MODER_MODER10_1 | GPIO_MODER_MODER11_1; + GPIOC->AFR[1] |= GPIO_AF7_USART3 << ((10-8)*4) | GPIO_AF7_USART3 << ((11-8)*4); + GPIOC->PUPDR = GPIO_PUPDR_PUPDR11_0; + + // USB + GPIOA->MODER |= GPIO_MODER_MODER11_1 | GPIO_MODER_MODER12_1; + GPIOA->OSPEEDR = GPIO_OSPEEDER_OSPEEDR11 | GPIO_OSPEEDER_OSPEEDR12; + GPIOA->AFR[1] |= GPIO_AF10_OTG_FS << ((11-8)*4) | GPIO_AF10_OTG_FS << ((12-8)*4); + GPIOA->PUPDR |= GPIO_PUPDR_PUPDR2_0 | GPIO_PUPDR_PUPDR3_0; + + // GMLAN, ignition sense, pull up + GPIOB->PUPDR |= GPIO_PUPDR_PUPDR12_0; + + // setup SPI + GPIOA->MODER |= GPIO_MODER_MODER4_1 | GPIO_MODER_MODER5_1 | + GPIO_MODER_MODER6_1 | GPIO_MODER_MODER7_1; + GPIOA->AFR[0] |= GPIO_AF5_SPI1 << (4*4) | GPIO_AF5_SPI1 << (5*4) | + GPIO_AF5_SPI1 << (6*4) | GPIO_AF5_SPI1 << (7*4); + + // CAN3 setup + #ifdef CAN3 + GPIOA->MODER |= GPIO_MODER_MODER8_1 | GPIO_MODER_MODER15_1; + GPIOA->AFR[1] |= GPIO_AF11_CAN3 << ((8-8)*4) | GPIO_AF11_CAN3 << ((15-8)*4); + #endif + + // K-Line setup + #ifdef PANDA + GPIOC->AFR[1] |= GPIO_AF8_UART5 << ((12-8)*4); + GPIOC->MODER |= GPIO_MODER_MODER12_1; + GPIOD->AFR[0] = GPIO_AF8_UART5 << (2*4); + GPIOD->MODER = GPIO_MODER_MODER2_1; + GPIOD->PUPDR = GPIO_PUPDR_PUPDR2_0; + #endif +} + +void uart_set_baud(USART_TypeDef *u, int baud) { + if (u == USART1) { + // USART1 is on APB2 + u->BRR = __USART_BRR(48000000, baud); + } else { + u->BRR = __USART_BRR(24000000, baud); + } +} + +void uart_init(USART_TypeDef *u, int baud) { + // enable uart and tx+rx mode + u->CR1 = USART_CR1_UE; + uart_set_baud(u, baud); + + u->CR1 |= USART_CR1_TE | USART_CR1_RE; + //u->CR2 = USART_CR2_STOP_0 | USART_CR2_STOP_1; + //u->CR2 = USART_CR2_STOP_0; + // ** UART is ready to work ** + + // enable interrupts + u->CR1 |= USART_CR1_RXNEIE; +} + +void delay(int a) { + volatile int i; + for (i=0;i> pos) & 0xF]); + } +} + +void puth2(unsigned int i) { + int pos; + char c[] = "0123456789abcdef"; + for (pos = 4; pos != -4; pos -= 4) { + putch(c[(i >> pos) & 0xF]); + } +} + +void hexdump(void *a, int l) { + int i; + for (i=0;iODR &= ~(1 << (6 + led_num)); + #else + GPIOB->ODR &= ~(1 << (10 + led_num)); + #endif + } else { + // turn off + #ifdef PANDA + GPIOC->ODR |= (1 << (6 + led_num)); + #else + GPIOB->ODR |= (1 << (10 + led_num)); + #endif + } +} + diff --git a/board/main.c b/board/main.c new file mode 100644 index 0000000..57b953e --- /dev/null +++ b/board/main.c @@ -0,0 +1,859 @@ +//#define DEBUG +//#define DEBUG_USB +//#define CAN_LOOPBACK_MODE +//#define USE_INTERNAL_OSC + +#ifdef STM32F4 + #define PANDA + #include "stm32f4xx.h" +#else + #include "stm32f2xx.h" +#endif + +#ifdef PANDA + #define ENABLE_CURRENT_SENSOR + #define ENABLE_SPI +#endif + +#define USB_VID 0xbbaa +#define USB_PID 0xddcc + +#define NULL ((void*)0) + +#include "early.h" + +// assign CAN numbering +// old: CAN1 = 1 CAN2 = 0 +// panda: CAN1 = 0 CAN2 = 1 CAN3 = 4 +#ifdef PANDA + int can_numbering[] = {0,1,4}; +#else + int can_numbering[] = {1,0,-1}; +#endif + +// *** end config *** + +#include "obj/gitversion.h" + +// debug safety check: is controls allowed? +int controls_allowed = 0; +int started = 0; +int can_live = 0, pending_can_live = 0; + +// optional features +int gas_interceptor_detected = 0; +int started_signal_detected = 0; + +// detect high on UART +// TODO: check for UART high +int did_usb_enumerate = 0; + +// ********************* instantiate queues ********************* + +#define FIFO_SIZE 0x100 + +typedef struct { + uint8_t w_ptr; + uint8_t r_ptr; + CAN_FIFOMailBox_TypeDef elems[FIFO_SIZE]; +} can_ring; + +can_ring can_rx_q = { .w_ptr = 0, .r_ptr = 0 }; +can_ring can_tx1_q = { .w_ptr = 0, .r_ptr = 0 }; +can_ring can_tx2_q = { .w_ptr = 0, .r_ptr = 0 }; +can_ring can_tx3_q = { .w_ptr = 0, .r_ptr = 0 }; + +// ********************* interrupt safe queue ********************* + +inline int pop(can_ring *q, CAN_FIFOMailBox_TypeDef *elem) { + if (q->w_ptr != q->r_ptr) { + *elem = q->elems[q->r_ptr]; + q->r_ptr += 1; + return 1; + } + return 0; +} + +inline int push(can_ring *q, CAN_FIFOMailBox_TypeDef *elem) { + uint8_t next_w_ptr = q->w_ptr + 1; + if (next_w_ptr != q->r_ptr) { + q->elems[q->w_ptr] = *elem; + q->w_ptr = next_w_ptr; + return 1; + } + return 0; +} + +// ***************************** serial port queues ***************************** + +typedef struct uart_ring { + uint8_t w_ptr_tx; + uint8_t r_ptr_tx; + uint8_t elems_tx[FIFO_SIZE]; + uint8_t w_ptr_rx; + uint8_t r_ptr_rx; + uint8_t elems_rx[FIFO_SIZE]; + USART_TypeDef *uart; + void (*callback)(struct uart_ring*); +} uart_ring; + +inline int putc(uart_ring *q, char elem); + +// esp = USART1 +uart_ring esp_ring = { .w_ptr_tx = 0, .r_ptr_tx = 0, + .w_ptr_rx = 0, .r_ptr_rx = 0, + .uart = USART1 }; + +// lin1, K-LINE = UART5 +// lin2, L-LINE = USART3 +uart_ring lin1_ring = { .w_ptr_tx = 0, .r_ptr_tx = 0, + .w_ptr_rx = 0, .r_ptr_rx = 0, + .uart = UART5 }; +uart_ring lin2_ring = { .w_ptr_tx = 0, .r_ptr_tx = 0, + .w_ptr_rx = 0, .r_ptr_rx = 0, + .uart = USART3 }; + +// debug = USART2 +void debug_ring_callback(uart_ring *ring); +uart_ring debug_ring = { .w_ptr_tx = 0, .r_ptr_tx = 0, + .w_ptr_rx = 0, .r_ptr_rx = 0, + .uart = USART2, + .callback = debug_ring_callback}; + + +uart_ring *get_ring_by_number(int a) { + switch(a) { + case 0: + return &debug_ring; + case 1: + return &esp_ring; + case 2: + return &lin1_ring; + case 3: + return &lin2_ring; + default: + return NULL; + } +} + + +void debug_ring_callback(uart_ring *ring) { + char rcv; + while (getc(ring, &rcv)) { + putc(ring, rcv); + + // jump to DFU flash + if (rcv == 'z') { + enter_bootloader_mode = ENTER_BOOTLOADER_MAGIC; + NVIC_SystemReset(); + } + } +} + +// ***************************** serial port ***************************** + +void uart_ring_process(uart_ring *q) { + // TODO: check if external serial is connected + int sr = q->uart->SR; + + if (q->w_ptr_tx != q->r_ptr_tx) { + if (sr & USART_SR_TXE) { + q->uart->DR = q->elems_tx[q->r_ptr_tx]; + q->r_ptr_tx += 1; + } else { + // push on interrupt later + q->uart->CR1 |= USART_CR1_TXEIE; + } + } else { + // nothing to send + q->uart->CR1 &= ~USART_CR1_TXEIE; + } + + if (sr & USART_SR_RXNE) { + uint8_t c = q->uart->DR; // TODO: can drop packets + uint8_t next_w_ptr = q->w_ptr_rx + 1; + if (next_w_ptr != q->r_ptr_rx) { + q->elems_rx[q->w_ptr_rx] = c; + q->w_ptr_rx = next_w_ptr; + if (q->callback) q->callback(q); + } + } +} + +// interrupt boilerplate + +void USART1_IRQHandler(void) { + NVIC_DisableIRQ(USART1_IRQn); + uart_ring_process(&esp_ring); + NVIC_EnableIRQ(USART1_IRQn); +} + +void USART2_IRQHandler(void) { + NVIC_DisableIRQ(USART2_IRQn); + uart_ring_process(&debug_ring); + NVIC_EnableIRQ(USART2_IRQn); +} + +void USART3_IRQHandler(void) { + NVIC_DisableIRQ(USART3_IRQn); + uart_ring_process(&lin2_ring); + NVIC_EnableIRQ(USART3_IRQn); +} + +void UART5_IRQHandler(void) { + NVIC_DisableIRQ(UART5_IRQn); + uart_ring_process(&lin1_ring); + NVIC_EnableIRQ(UART5_IRQn); +} + +inline int getc(uart_ring *q, char *elem) { + if (q->w_ptr_rx != q->r_ptr_rx) { + *elem = q->elems_rx[q->r_ptr_rx]; + q->r_ptr_rx += 1; + return 1; + } + return 0; +} + +inline int injectc(uart_ring *q, char elem) { + uint8_t next_w_ptr = q->w_ptr_rx + 1; + int ret = 0; + if (next_w_ptr != q->r_ptr_rx) { + q->elems_rx[q->w_ptr_rx] = elem; + q->w_ptr_rx = next_w_ptr; + ret = 1; + } + return ret; +} + +inline int putc(uart_ring *q, char elem) { + uint8_t next_w_ptr = q->w_ptr_tx + 1; + int ret = 0; + if (next_w_ptr != q->r_ptr_tx) { + q->elems_tx[q->w_ptr_tx] = elem; + q->w_ptr_tx = next_w_ptr; + ret = 1; + } + uart_ring_process(q); + return ret; +} + +// ********************* includes ********************* + +#include "libc.h" +#include "adc.h" +#include "timer.h" +#include "usb.h" +#include "can.h" +#include "spi.h" + +void safety_rx_hook(CAN_FIFOMailBox_TypeDef *to_push); +void safety_tx_hook(CAN_FIFOMailBox_TypeDef *to_send); +#include "honda_safety.h" + +// ***************************** CAN ***************************** + +void process_can(CAN_TypeDef *CAN, can_ring *can_q, int can_number) { + #ifdef DEBUG + puts("process CAN TX\n"); + #endif + + // add successfully transmitted message to my fifo + if ((CAN->TSR & CAN_TSR_TXOK0) == CAN_TSR_TXOK0) { + CAN_FIFOMailBox_TypeDef to_push; + to_push.RIR = CAN->sTxMailBox[0].TIR; + to_push.RDTR = (CAN->sTxMailBox[0].TDTR & 0xFFFF000F) | ((can_number+2) << 4); + to_push.RDLR = CAN->sTxMailBox[0].TDLR; + to_push.RDHR = CAN->sTxMailBox[0].TDHR; + push(&can_rx_q, &to_push); + } + + // check for empty mailbox + CAN_FIFOMailBox_TypeDef to_send; + if ((CAN->TSR & CAN_TSR_TME0) == CAN_TSR_TME0) { + if (pop(can_q, &to_send)) { + safety_tx_hook(&to_send); + + // only send if we have received a packet + CAN->sTxMailBox[0].TDLR = to_send.RDLR; + CAN->sTxMailBox[0].TDHR = to_send.RDHR; + CAN->sTxMailBox[0].TDTR = to_send.RDTR; + CAN->sTxMailBox[0].TIR = to_send.RIR; + } + } + + // clear interrupt + CAN->TSR |= CAN_TSR_RQCP0; +} + +// send more, possible for these to not trigger? + + +void CAN1_TX_IRQHandler() { + process_can(CAN1, &can_tx1_q, can_numbering[0]); +} + +void CAN2_TX_IRQHandler() { + process_can(CAN2, &can_tx2_q, can_numbering[1]); +} + +#ifdef CAN3 +void CAN3_TX_IRQHandler() { + process_can(CAN3, &can_tx3_q, can_numbering[2]); +} +#endif + +// board enforces +// in-state +// accel set/resume +// out-state +// cancel button + + +// all commands: brake and steering +// if controls_allowed +// allow all commands up to limit +// else +// block all commands that produce actuation + +// CAN receive handlers +// blink blue when we are receiving CAN messages +void can_rx(CAN_TypeDef *CAN, int can_number) { + while (CAN->RF0R & CAN_RF0R_FMP0) { + // can is live + pending_can_live = 1; + + // add to my fifo + CAN_FIFOMailBox_TypeDef to_push; + to_push.RIR = CAN->sFIFOMailBox[0].RIR; + // top 16-bits is the timestamp + to_push.RDTR = (CAN->sFIFOMailBox[0].RDTR & 0xFFFF000F) | (can_number << 4); + to_push.RDLR = CAN->sFIFOMailBox[0].RDLR; + to_push.RDHR = CAN->sFIFOMailBox[0].RDHR; + + safety_rx_hook(&to_push); + + set_led(LED_BLUE, 1); + push(&can_rx_q, &to_push); + + // next + CAN->RF0R |= CAN_RF0R_RFOM0; + } +} + +void CAN1_RX0_IRQHandler() { + //puts("CANRX1"); + //delay(10000); + can_rx(CAN1, can_numbering[0]); +} + +void CAN2_RX0_IRQHandler() { + //puts("CANRX0"); + //delay(10000); + can_rx(CAN2, can_numbering[1]); +} + +#ifdef CAN3 +void CAN3_RX0_IRQHandler() { + //puts("CANRX0"); + //delay(10000); + can_rx(CAN3, can_numbering[2]); +} +#endif + +void CAN1_SCE_IRQHandler() { + //puts("CAN1_SCE\n"); + can_sce(CAN1); +} + +void CAN2_SCE_IRQHandler() { + //puts("CAN2_SCE\n"); + can_sce(CAN2); +} + +#ifdef CAN3 +void CAN3_SCE_IRQHandler() { + //puts("CAN3_SCE\n"); + can_sce(CAN3); +} +#endif + + +// ***************************** USB port ***************************** + +int get_health_pkt(void *dat) { + struct __attribute__((packed)) { + uint32_t voltage; + uint32_t current; + uint8_t started; + uint8_t controls_allowed; + uint8_t gas_interceptor_detected; + uint8_t started_signal_detected; + uint8_t started_alt; + } *health = dat; + health->voltage = adc_get(ADCCHAN_VOLTAGE); +#ifdef ENABLE_CURRENT_SENSOR + health->current = adc_get(ADCCHAN_CURRENT); +#else + health->current = 0; +#endif + health->started = started; + +#ifdef PANDA + health->started_alt = (GPIOA->IDR & (1 << 1)) == 0; +#else + health->started_alt = 0; +#endif + + health->controls_allowed = controls_allowed; + + health->gas_interceptor_detected = gas_interceptor_detected; + health->started_signal_detected = started_signal_detected; + return sizeof(*health); +} + +void set_fan_speed(int fan_speed) { + TIM3->CCR3 = fan_speed; +} + +void usb_cb_ep1_in(int len) { + CAN_FIFOMailBox_TypeDef reply[4]; + + int ilen = 0; + while (ilen < min(len/0x10, 4) && pop(&can_rx_q, &reply[ilen])) ilen++; + + /*#ifdef DEBUG + puts("FIFO SENDING "); + puth(ilen); + puts("\n"); + #endif*/ + + USB_WritePacket((void *)reply, ilen*0x10, 1); +} + +// send on serial, first byte to select +void usb_cb_ep2_out(uint8_t *usbdata, int len) { + int i; + if (len == 0) return; + uart_ring *ur = get_ring_by_number(usbdata[0]); + if (!ur) return; + for (i = 1; i < len; i++) while (!putc(ur, usbdata[i])); +} + +// send on CAN +void usb_cb_ep3_out(uint8_t *usbdata, int len) { + int dpkt = 0; + for (dpkt = 0; dpkt < len; dpkt += 0x10) { + uint32_t *tf = (uint32_t*)(&usbdata[dpkt]); + int flags = (tf[1] >> 4) & 0xF; + + //puth(flags); puts("\n"); + CAN_TypeDef *CAN; + can_ring *can_q; + int can_number; + if (flags == can_numbering[0]) { + CAN = CAN1; + can_q = &can_tx1_q; + } else if (flags == can_numbering[1]) { + CAN = CAN2; + can_q = &can_tx2_q; + #ifdef CAN3 + } else if (flags == can_numbering[2]) { + CAN = CAN3; + can_q = &can_tx3_q; + #endif + } else { + // no crash + continue; + } + + // add CAN packet to send queue + CAN_FIFOMailBox_TypeDef to_push; + to_push.RDHR = tf[3]; + to_push.RDLR = tf[2]; + to_push.RDTR = tf[1] & 0xF; + to_push.RIR = tf[0]; + push(can_q, &to_push); + + // flags = can_number + process_can(CAN, can_q, flags); + } +} + +void usb_cb_enumeration_complete() { + // power down the ESP + // this doesn't work and makes the board unflashable + // because the ESP spews shit on serial on startup + //GPIOC->ODR &= ~(1 << 14); + did_usb_enumerate = 1; +} + + +#define MAX_RESP_LEN 0x30 +void usb_cb_control_msg() { + uint8_t resp[MAX_RESP_LEN]; + int resp_len = 0; + uart_ring *ur = NULL; + int i; + switch (setup.b.bRequest) { + case 0xd1: + enter_bootloader_mode = ENTER_BOOTLOADER_MAGIC; + NVIC_SystemReset(); + break; + case 0xd2: + resp_len = get_health_pkt(resp); + USB_WritePacket(resp, resp_len, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + case 0xd3: + set_fan_speed(setup.b.wValue.w); + USB_WritePacket(0, 0, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + case 0xd6: // GET_VERSION + USB_WritePacket(gitversion, min(sizeof(gitversion), setup.b.wLength.w), 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + case 0xd8: // RESET + NVIC_SystemReset(); + break; + case 0xda: // ESP RESET + // pull low for ESP boot mode + if (setup.b.wValue.w == 1) { + GPIOC->ODR &= ~(1 << 5); + } + + // do ESP reset + GPIOC->ODR &= ~(1 << 14); + delay(1000000); + GPIOC->ODR |= (1 << 14); + delay(1000000); + + // reset done, no more boot mode + // TODO: ESP doesn't seem to listen here + if (setup.b.wValue.w == 1) { + GPIOC->ODR |= (1 << 5); + } + + USB_WritePacket(0, 0, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + case 0xdb: // toggle GMLAN + set_can2_mode(setup.b.wValue.w); + + // null reply + USB_WritePacket(resp, resp_len, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + case 0xe0: // uart read + ur = get_ring_by_number(setup.b.wValue.w); + if (!ur) break; + if (setup.b.bRequest == 0xe0) { + // read + while (resp_len < min(setup.b.wLength.w, MAX_RESP_LEN) && getc(ur, &resp[resp_len])) { + ++resp_len; + } + /*puts("uart read: "); + puth(setup.b.wLength.w); + puts(" "); + puth(resp_len); + puts("\n");*/ + USB_WritePacket(resp, resp_len, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + } + break; + case 0xe1: // uart set baud rate + ur = get_ring_by_number(setup.b.wValue.w); + uart_set_baud(ur->uart, setup.b.wIndex.w); + //puth(ur->uart->BRR); puts("\n"); + + // null reply + USB_WritePacket(resp, resp_len, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + case 0xf0: // k-line wValue pulse on uart2 + if (setup.b.wValue.w == 1) { + GPIOC->ODR &= ~(1 << 10); + GPIOC->MODER &= ~GPIO_MODER_MODER10_1; + GPIOC->MODER |= GPIO_MODER_MODER10_0; + } else { + GPIOC->ODR &= ~(1 << 12); + GPIOC->MODER &= ~GPIO_MODER_MODER12_1; + GPIOC->MODER |= GPIO_MODER_MODER12_0; + } + + //delay((int)setup.b.wValue.w * 8000); + for (i = 0; i < 80; i++) { + delay(8000); + if (setup.b.wValue.w == 1) { + GPIOC->ODR |= (1 << 10); + GPIOC->ODR &= ~(1 << 10); + } else { + GPIOC->ODR |= (1 << 12); + GPIOC->ODR &= ~(1 << 12); + } + } + + if (setup.b.wValue.w == 1) { + GPIOC->MODER &= ~GPIO_MODER_MODER10_0; + GPIOC->MODER |= GPIO_MODER_MODER10_1; + } else { + GPIOC->MODER &= ~GPIO_MODER_MODER12_0; + GPIOC->MODER |= GPIO_MODER_MODER12_1; + } + + delay(140 * 9000); + //delay((int)setup.b.wIndex.w * 8000); + + // null reply + USB_WritePacket(resp, resp_len, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + default: + puts("NO HANDLER "); + puth(setup.b.bRequest); + puts("\n"); + break; + } +} + + +void OTG_FS_IRQHandler(void) { + NVIC_DisableIRQ(OTG_FS_IRQn); + //__disable_irq(); + usb_irqhandler(); + //__enable_irq(); + NVIC_EnableIRQ(OTG_FS_IRQn); +} + +void ADC_IRQHandler(void) { + puts("ADC_IRQ\n"); +} + +#ifdef ENABLE_SPI + +#define SPI_BUF_SIZE 128 +uint8_t spi_buf[SPI_BUF_SIZE]; +int spi_buf_count = 0; +uint8_t spi_tx_buf[0x10]; + +/*void SPI1_IRQHandler(void) { + // status is 0x43 + if (SPI1->SR & SPI_SR_RXNE) { + uint8_t dat = SPI1->DR; + spi_buf[spi_buf_count] = dat; + if (spi_buf_count < SPI_BUF_SIZE-1) { + spi_buf_count += 1; + } + } + + if (SPI1->SR & SPI_SR_TXE) { + // all i send is U U U no matter what + //SPI1->DR = 'U'; + } + + int stat = SPI1->SR; + if (stat & ((~SPI_SR_RXNE) & (~SPI_SR_TXE) & (~SPI_SR_BSY))) { + puts("SPI status: "); + puth(stat); + puts("\n"); + } +}*/ + +void DMA2_Stream3_IRQHandler(void) { + // ack + DMA2->LIFCR = DMA_LIFCR_CTCIF3; + + // reenable interrupt + EXTI->IMR |= (1 << 4); + //puts("stop\n"); +} + +void EXTI4_IRQHandler(void) { + int pr = EXTI->PR; + // SPI CS rising + if (pr & (1 << 4)) { + if (pop(&can_rx_q, spi_tx_buf)) { + spi_tx_dma(spi_tx_buf, 0x10); + } else { + memset(spi_tx_buf, 0, 0x10); + spi_tx_dma(spi_tx_buf, 0x10); + } + //puts("start\n"); + EXTI->IMR &= ~(1 << 4); + } + EXTI->PR = pr; +} + +#endif + +// ***************************** main code ***************************** + +void __initialize_hardware_early() { + early(); +} + +int main() { + // init devices + clock_init(); + detect(); + gpio_init(); + + // enable main uart + uart_init(USART2, 115200); + + // enable ESP uart + uart_init(USART1, 115200); + + // enable LIN + uart_init(UART5, 10400); + UART5->CR2 |= USART_CR2_LINEN; + uart_init(USART3, 10400); + USART3->CR2 |= USART_CR2_LINEN; + + /*puts("EXTERNAL"); + puth(has_external_debug_serial); + puts("\n");*/ + + // enable USB + usb_init(); + + can_init(CAN1); + can_init(CAN2); +#ifdef CAN3 + can_init(CAN3); +#endif + adc_init(); + +#ifdef ENABLE_SPI + spi_init(); + + // set up DMA + //memset(spi_tx_buf, 0, 0x10); + //spi_tx_dma(spi_tx_buf, 0x10); +#endif + + // timer for fan PWM + TIM3->CCMR2 = TIM_CCMR2_OC3M_2 | TIM_CCMR2_OC3M_1; + TIM3->CCER = TIM_CCER_CC3E; + + // max value of the timer + // 64 makes it above the audible range + //TIM3->ARR = 64; + + // 10 prescale makes it below the audible range + timer_init(TIM3, 10); + puth(DBGMCU->IDCODE); + + // set PWM + set_fan_speed(65535); + + + puts("**** INTERRUPTS ON ****\n"); + __disable_irq(); + + // 4 uarts! + NVIC_EnableIRQ(USART1_IRQn); + NVIC_EnableIRQ(USART2_IRQn); + NVIC_EnableIRQ(USART3_IRQn); + NVIC_EnableIRQ(UART5_IRQn); + + NVIC_EnableIRQ(OTG_FS_IRQn); + NVIC_EnableIRQ(ADC_IRQn); + // CAN has so many interrupts! + + NVIC_EnableIRQ(CAN1_TX_IRQn); + NVIC_EnableIRQ(CAN1_RX0_IRQn); + NVIC_EnableIRQ(CAN1_SCE_IRQn); + + NVIC_EnableIRQ(CAN2_TX_IRQn); + NVIC_EnableIRQ(CAN2_RX0_IRQn); + NVIC_EnableIRQ(CAN2_SCE_IRQn); + +#ifdef CAN3 + NVIC_EnableIRQ(CAN3_TX_IRQn); + NVIC_EnableIRQ(CAN3_RX0_IRQn); + NVIC_EnableIRQ(CAN3_SCE_IRQn); +#endif + +#ifdef ENABLE_SPI + NVIC_EnableIRQ(DMA2_Stream3_IRQn); + //NVIC_EnableIRQ(SPI1_IRQn); + + // setup interrupt on falling edge of SPI enable (on PA4) + SYSCFG->EXTICR[2] = SYSCFG_EXTICR2_EXTI4_PA; + EXTI->IMR = (1 << 4); + EXTI->FTSR = (1 << 4); + NVIC_EnableIRQ(EXTI4_IRQn); +#endif + __enable_irq(); + + // LED should keep on blinking all the time + uint64_t cnt; + for (cnt=0;;cnt++) { + can_live = pending_can_live; + + // reset this every 16th pass + if ((cnt&0xF) == 0) pending_can_live = 0; + + /*#ifdef DEBUG + puts("** blink "); + puth(can_rx_q.r_ptr); puts(" "); puth(can_rx_q.w_ptr); puts(" "); + puth(can_tx1_q.r_ptr); puts(" "); puth(can_tx1_q.w_ptr); puts(" "); + puth(can_tx2_q.r_ptr); puts(" "); puth(can_tx2_q.w_ptr); puts("\n"); + #endif*/ + + /*puts("voltage: "); puth(adc_get(ADCCHAN_VOLTAGE)); puts(" "); + puts("current: "); puth(adc_get(ADCCHAN_CURRENT)); puts("\n");*/ + + // set LED to be controls allowed + set_led(LED_GREEN, controls_allowed); + + // blink the red LED + set_led(LED_RED, 0); + delay(2000000); + set_led(LED_RED, 1); + delay(2000000); + + // ESP io proxy + //set_led(LED_BLUE, !(GPIOB->IDR&1)); + set_led(LED_BLUE, 0); + + #ifdef ENABLE_SPI + if (spi_buf_count > 0) { + hexdump(spi_buf, spi_buf_count); + spi_buf_count = 0; + } + #endif + + // started logic + #ifdef PANDA + int started_signal = (GPIOB->IDR & (1 << 12)) == 0; + #else + int started_signal = (GPIOC->IDR & (1 << 13)) != 0; + #endif + if (started_signal) { started_signal_detected = 1; } + + if (started_signal || (!started_signal_detected && can_live)) { + started = 1; + + // turn on fan at half speed + set_fan_speed(32768); + } else { + started = 0; + + // turn off fan + set_fan_speed(0); + } + + // if we've been on for a bit and we didn't connect on USB, power up the ESP + // TODO: make better logic for this + /*if (cnt > 5 && !did_usb_enumerate) { + // enable the ESP, disable ESP boot mode + GPIOC->ODR = (1 << 14) | (1 << 5); + }*/ + } + + return 0; +} + diff --git a/board/spi.h b/board/spi.h new file mode 100644 index 0000000..5b2844e --- /dev/null +++ b/board/spi.h @@ -0,0 +1,23 @@ +void spi_init() { + puts("SPI init\n"); + SPI1->CR1 = SPI_CR1_SPE; + //SPI1->CR2 = SPI_CR2_RXNEIE | SPI_CR2_ERRIE | SPI_CR2_TXEIE; +} + +void spi_tx_dma(void *addr, int len) { + // disable DMA + SPI1->CR2 &= ~SPI_CR2_TXDMAEN; + DMA2_Stream3->CR &= ~DMA_SxCR_EN; + + // DMA2, stream 3, channel 3 + DMA2_Stream3->M0AR = addr; + DMA2_Stream3->NDTR = len; + DMA2_Stream3->PAR = &(SPI1->DR); + + // channel3, increment memory, memory -> periph, enable + DMA2_Stream3->CR = DMA_SxCR_CHSEL_1 | DMA_SxCR_CHSEL_0 | DMA_SxCR_MINC | DMA_SxCR_DIR_0 | DMA_SxCR_EN; + DMA2_Stream3->CR |= DMA_SxCR_TCIE; + + SPI1->CR2 |= SPI_CR2_TXDMAEN; +} + diff --git a/board/startup_stm32f205xx.s b/board/startup_stm32f205xx.s new file mode 100644 index 0000000..f4b6c6c --- /dev/null +++ b/board/startup_stm32f205xx.s @@ -0,0 +1,511 @@ +/** + ****************************************************************************** + * @file startup_stm32f205xx.s + * @author MCD Application Team + * @version V2.1.2 + * @date 29-June-2016 + * @brief STM32F205xx Devices vector table for Atollic TrueSTUDIO toolchain. + * This module performs: + * - Set the initial SP + * - Set the initial PC == Reset_Handler, + * - Set the vector table entries with the exceptions ISR address + * - Branches to main in the C library (which eventually + * calls main()). + * After Reset the Cortex-M3 processor is in Thread mode, + * priority is Privileged, and the Stack is set to Main. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + + .syntax unified + .cpu cortex-m3 + .thumb + +.global g_pfnVectors +.global Default_Handler + +/* start address for the initialization values of the .data section. +defined in linker script */ +.word _sidata +/* start address for the .data section. defined in linker script */ +.word _sdata +/* end address for the .data section. defined in linker script */ +.word _edata +/* start address for the .bss section. defined in linker script */ +.word _sbss +/* end address for the .bss section. defined in linker script */ +.word _ebss +/* stack used for SystemInit_ExtMemCtl; always internal RAM used */ + +/** + * @brief This is the code that gets called when the processor first + * starts execution following a reset event. Only the absolutely + * necessary set is performed, after which the application + * supplied main() routine is called. + * @param None + * @retval : None +*/ + + .section .text.Reset_Handler + .weak Reset_Handler + .type Reset_Handler, %function +Reset_Handler: + ldr sp, =_estack /* set stack pointer */ + bl __initialize_hardware_early + +/* Copy the data segment initializers from flash to SRAM */ + movs r1, #0 + b LoopCopyDataInit + +CopyDataInit: + ldr r3, =_sidata + ldr r3, [r3, r1] + str r3, [r0, r1] + adds r1, r1, #4 + +LoopCopyDataInit: + ldr r0, =_sdata + ldr r3, =_edata + adds r2, r0, r1 + cmp r2, r3 + bcc CopyDataInit + ldr r2, =_sbss + b LoopFillZerobss +/* Zero fill the bss segment. */ +FillZerobss: + movs r3, #0 + str r3, [r2], #4 + +LoopFillZerobss: + ldr r3, = _ebss + cmp r2, r3 + bcc FillZerobss + +/* Call the clock system initialization function.*/ + /*bl SystemInit */ +/* Call static constructors */ + /*bl __libc_init_array*/ +/* Call the application's entry point.*/ + bl main + bx lr +.size Reset_Handler, .-Reset_Handler + +/** + * @brief This is the code that gets called when the processor receives an + * unexpected interrupt. This simply enters an infinite loop, preserving + * the system state for examination by a debugger. + * @param None + * @retval None +*/ + .section .text.Default_Handler,"ax",%progbits +Default_Handler: +Infinite_Loop: + b Infinite_Loop + .size Default_Handler, .-Default_Handler +/****************************************************************************** +* +* The minimal vector table for a Cortex M3. Note that the proper constructs +* must be placed on this to ensure that it ends up at physical address +* 0x0000.0000. +* +*******************************************************************************/ + .section .isr_vector,"a",%progbits + .type g_pfnVectors, %object + .size g_pfnVectors, .-g_pfnVectors + + + +g_pfnVectors: + .word _estack + .word Reset_Handler + + .word NMI_Handler + .word HardFault_Handler + .word MemManage_Handler + .word BusFault_Handler + .word UsageFault_Handler + .word 0 + .word 0 + .word 0 + .word 0 + .word SVC_Handler + .word DebugMon_Handler + .word 0 + .word PendSV_Handler + .word SysTick_Handler + + /* External Interrupts */ + .word WWDG_IRQHandler /* Window WatchDog */ + .word PVD_IRQHandler /* PVD through EXTI Line detection */ + .word TAMP_STAMP_IRQHandler /* Tamper and TimeStamps through the EXTI line */ + .word RTC_WKUP_IRQHandler /* RTC Wakeup through the EXTI line */ + .word FLASH_IRQHandler /* FLASH */ + .word RCC_IRQHandler /* RCC */ + .word EXTI0_IRQHandler /* EXTI Line0 */ + .word EXTI1_IRQHandler /* EXTI Line1 */ + .word EXTI2_IRQHandler /* EXTI Line2 */ + .word EXTI3_IRQHandler /* EXTI Line3 */ + .word EXTI4_IRQHandler /* EXTI Line4 */ + .word DMA1_Stream0_IRQHandler /* DMA1 Stream 0 */ + .word DMA1_Stream1_IRQHandler /* DMA1 Stream 1 */ + .word DMA1_Stream2_IRQHandler /* DMA1 Stream 2 */ + .word DMA1_Stream3_IRQHandler /* DMA1 Stream 3 */ + .word DMA1_Stream4_IRQHandler /* DMA1 Stream 4 */ + .word DMA1_Stream5_IRQHandler /* DMA1 Stream 5 */ + .word DMA1_Stream6_IRQHandler /* DMA1 Stream 6 */ + .word ADC_IRQHandler /* ADC1, ADC2 and ADC3s */ + .word CAN1_TX_IRQHandler /* CAN1 TX */ + .word CAN1_RX0_IRQHandler /* CAN1 RX0 */ + .word CAN1_RX1_IRQHandler /* CAN1 RX1 */ + .word CAN1_SCE_IRQHandler /* CAN1 SCE */ + .word EXTI9_5_IRQHandler /* External Line[9:5]s */ + .word TIM1_BRK_TIM9_IRQHandler /* TIM1 Break and TIM9 */ + .word TIM1_UP_TIM10_IRQHandler /* TIM1 Update and TIM10 */ + .word TIM1_TRG_COM_TIM11_IRQHandler /* TIM1 Trigger and Commutation and TIM11 */ + .word TIM1_CC_IRQHandler /* TIM1 Capture Compare */ + .word TIM2_IRQHandler /* TIM2 */ + .word TIM3_IRQHandler /* TIM3 */ + .word TIM4_IRQHandler /* TIM4 */ + .word I2C1_EV_IRQHandler /* I2C1 Event */ + .word I2C1_ER_IRQHandler /* I2C1 Error */ + .word I2C2_EV_IRQHandler /* I2C2 Event */ + .word I2C2_ER_IRQHandler /* I2C2 Error */ + .word SPI1_IRQHandler /* SPI1 */ + .word SPI2_IRQHandler /* SPI2 */ + .word USART1_IRQHandler /* USART1 */ + .word USART2_IRQHandler /* USART2 */ + .word USART3_IRQHandler /* USART3 */ + .word EXTI15_10_IRQHandler /* External Line[15:10]s */ + .word RTC_Alarm_IRQHandler /* RTC Alarm (A and B) through EXTI Line */ + .word OTG_FS_WKUP_IRQHandler /* USB OTG FS Wakeup through EXTI line */ + .word TIM8_BRK_TIM12_IRQHandler /* TIM8 Break and TIM12 */ + .word TIM8_UP_TIM13_IRQHandler /* TIM8 Update and TIM13 */ + .word TIM8_TRG_COM_TIM14_IRQHandler /* TIM8 Trigger and Commutation and TIM14 */ + .word TIM8_CC_IRQHandler /* TIM8 Capture Compare */ + .word DMA1_Stream7_IRQHandler /* DMA1 Stream7 */ + .word FSMC_IRQHandler /* FSMC */ + .word SDIO_IRQHandler /* SDIO */ + .word TIM5_IRQHandler /* TIM5 */ + .word SPI3_IRQHandler /* SPI3 */ + .word UART4_IRQHandler /* UART4 */ + .word UART5_IRQHandler /* UART5 */ + .word TIM6_DAC_IRQHandler /* TIM6 and DAC1&2 underrun errors */ + .word TIM7_IRQHandler /* TIM7 */ + .word DMA2_Stream0_IRQHandler /* DMA2 Stream 0 */ + .word DMA2_Stream1_IRQHandler /* DMA2 Stream 1 */ + .word DMA2_Stream2_IRQHandler /* DMA2 Stream 2 */ + .word DMA2_Stream3_IRQHandler /* DMA2 Stream 3 */ + .word DMA2_Stream4_IRQHandler /* DMA2 Stream 4 */ + .word 0 /* Reserved */ + .word 0 /* Reserved */ + .word CAN2_TX_IRQHandler /* CAN2 TX */ + .word CAN2_RX0_IRQHandler /* CAN2 RX0 */ + .word CAN2_RX1_IRQHandler /* CAN2 RX1 */ + .word CAN2_SCE_IRQHandler /* CAN2 SCE */ + .word OTG_FS_IRQHandler /* USB OTG FS */ + .word DMA2_Stream5_IRQHandler /* DMA2 Stream 5 */ + .word DMA2_Stream6_IRQHandler /* DMA2 Stream 6 */ + .word DMA2_Stream7_IRQHandler /* DMA2 Stream 7 */ + .word USART6_IRQHandler /* USART6 */ + .word I2C3_EV_IRQHandler /* I2C3 event */ + .word I2C3_ER_IRQHandler /* I2C3 error */ + .word OTG_HS_EP1_OUT_IRQHandler /* USB OTG HS End Point 1 Out */ + .word OTG_HS_EP1_IN_IRQHandler /* USB OTG HS End Point 1 In */ + .word OTG_HS_WKUP_IRQHandler /* USB OTG HS Wakeup through EXTI */ + .word OTG_HS_IRQHandler /* USB OTG HS */ + .word 0 /* Reserved */ + .word 0 /* Reserved */ + .word HASH_RNG_IRQHandler /* Hash and Rng */ + +/******************************************************************************* +* +* Provide weak aliases for each Exception handler to the Default_Handler. +* As they are weak aliases, any function with the same name will override +* this definition. +* +*******************************************************************************/ + .weak NMI_Handler + .thumb_set NMI_Handler,Default_Handler + + .weak HardFault_Handler + .thumb_set HardFault_Handler,Default_Handler + + .weak MemManage_Handler + .thumb_set MemManage_Handler,Default_Handler + + .weak BusFault_Handler + .thumb_set BusFault_Handler,Default_Handler + + .weak UsageFault_Handler + .thumb_set UsageFault_Handler,Default_Handler + + .weak SVC_Handler + .thumb_set SVC_Handler,Default_Handler + + .weak DebugMon_Handler + .thumb_set DebugMon_Handler,Default_Handler + + .weak PendSV_Handler + .thumb_set PendSV_Handler,Default_Handler + + .weak SysTick_Handler + .thumb_set SysTick_Handler,Default_Handler + + .weak WWDG_IRQHandler + .thumb_set WWDG_IRQHandler,Default_Handler + + .weak PVD_IRQHandler + .thumb_set PVD_IRQHandler,Default_Handler + + .weak TAMP_STAMP_IRQHandler + .thumb_set TAMP_STAMP_IRQHandler,Default_Handler + + .weak RTC_WKUP_IRQHandler + .thumb_set RTC_WKUP_IRQHandler,Default_Handler + + .weak FLASH_IRQHandler + .thumb_set FLASH_IRQHandler,Default_Handler + + .weak RCC_IRQHandler + .thumb_set RCC_IRQHandler,Default_Handler + + .weak EXTI0_IRQHandler + .thumb_set EXTI0_IRQHandler,Default_Handler + + .weak EXTI1_IRQHandler + .thumb_set EXTI1_IRQHandler,Default_Handler + + .weak EXTI2_IRQHandler + .thumb_set EXTI2_IRQHandler,Default_Handler + + .weak EXTI3_IRQHandler + .thumb_set EXTI3_IRQHandler,Default_Handler + + .weak EXTI4_IRQHandler + .thumb_set EXTI4_IRQHandler,Default_Handler + + .weak DMA1_Stream0_IRQHandler + .thumb_set DMA1_Stream0_IRQHandler,Default_Handler + + .weak DMA1_Stream1_IRQHandler + .thumb_set DMA1_Stream1_IRQHandler,Default_Handler + + .weak DMA1_Stream2_IRQHandler + .thumb_set DMA1_Stream2_IRQHandler,Default_Handler + + .weak DMA1_Stream3_IRQHandler + .thumb_set DMA1_Stream3_IRQHandler,Default_Handler + + .weak DMA1_Stream4_IRQHandler + .thumb_set DMA1_Stream4_IRQHandler,Default_Handler + + .weak DMA1_Stream5_IRQHandler + .thumb_set DMA1_Stream5_IRQHandler,Default_Handler + + .weak DMA1_Stream6_IRQHandler + .thumb_set DMA1_Stream6_IRQHandler,Default_Handler + + .weak ADC_IRQHandler + .thumb_set ADC_IRQHandler,Default_Handler + + .weak CAN1_TX_IRQHandler + .thumb_set CAN1_TX_IRQHandler,Default_Handler + + .weak CAN1_RX0_IRQHandler + .thumb_set CAN1_RX0_IRQHandler,Default_Handler + + .weak CAN1_RX1_IRQHandler + .thumb_set CAN1_RX1_IRQHandler,Default_Handler + + .weak CAN1_SCE_IRQHandler + .thumb_set CAN1_SCE_IRQHandler,Default_Handler + + .weak EXTI9_5_IRQHandler + .thumb_set EXTI9_5_IRQHandler,Default_Handler + + .weak TIM1_BRK_TIM9_IRQHandler + .thumb_set TIM1_BRK_TIM9_IRQHandler,Default_Handler + + .weak TIM1_UP_TIM10_IRQHandler + .thumb_set TIM1_UP_TIM10_IRQHandler,Default_Handler + + .weak TIM1_TRG_COM_TIM11_IRQHandler + .thumb_set TIM1_TRG_COM_TIM11_IRQHandler,Default_Handler + + .weak TIM1_CC_IRQHandler + .thumb_set TIM1_CC_IRQHandler,Default_Handler + + .weak TIM2_IRQHandler + .thumb_set TIM2_IRQHandler,Default_Handler + + .weak TIM3_IRQHandler + .thumb_set TIM3_IRQHandler,Default_Handler + + .weak TIM4_IRQHandler + .thumb_set TIM4_IRQHandler,Default_Handler + + .weak I2C1_EV_IRQHandler + .thumb_set I2C1_EV_IRQHandler,Default_Handler + + .weak I2C1_ER_IRQHandler + .thumb_set I2C1_ER_IRQHandler,Default_Handler + + .weak I2C2_EV_IRQHandler + .thumb_set I2C2_EV_IRQHandler,Default_Handler + + .weak I2C2_ER_IRQHandler + .thumb_set I2C2_ER_IRQHandler,Default_Handler + + .weak SPI1_IRQHandler + .thumb_set SPI1_IRQHandler,Default_Handler + + .weak SPI2_IRQHandler + .thumb_set SPI2_IRQHandler,Default_Handler + + .weak USART1_IRQHandler + .thumb_set USART1_IRQHandler,Default_Handler + + .weak USART2_IRQHandler + .thumb_set USART2_IRQHandler,Default_Handler + + .weak USART3_IRQHandler + .thumb_set USART3_IRQHandler,Default_Handler + + .weak EXTI15_10_IRQHandler + .thumb_set EXTI15_10_IRQHandler,Default_Handler + + .weak RTC_Alarm_IRQHandler + .thumb_set RTC_Alarm_IRQHandler,Default_Handler + + .weak OTG_FS_WKUP_IRQHandler + .thumb_set OTG_FS_WKUP_IRQHandler,Default_Handler + + .weak TIM8_BRK_TIM12_IRQHandler + .thumb_set TIM8_BRK_TIM12_IRQHandler,Default_Handler + + .weak TIM8_UP_TIM13_IRQHandler + .thumb_set TIM8_UP_TIM13_IRQHandler,Default_Handler + + .weak TIM8_TRG_COM_TIM14_IRQHandler + .thumb_set TIM8_TRG_COM_TIM14_IRQHandler,Default_Handler + + .weak TIM8_CC_IRQHandler + .thumb_set TIM8_CC_IRQHandler,Default_Handler + + .weak DMA1_Stream7_IRQHandler + .thumb_set DMA1_Stream7_IRQHandler,Default_Handler + + .weak FSMC_IRQHandler + .thumb_set FSMC_IRQHandler,Default_Handler + + .weak SDIO_IRQHandler + .thumb_set SDIO_IRQHandler,Default_Handler + + .weak TIM5_IRQHandler + .thumb_set TIM5_IRQHandler,Default_Handler + + .weak SPI3_IRQHandler + .thumb_set SPI3_IRQHandler,Default_Handler + + .weak UART4_IRQHandler + .thumb_set UART4_IRQHandler,Default_Handler + + .weak UART5_IRQHandler + .thumb_set UART5_IRQHandler,Default_Handler + + .weak TIM6_DAC_IRQHandler + .thumb_set TIM6_DAC_IRQHandler,Default_Handler + + .weak TIM7_IRQHandler + .thumb_set TIM7_IRQHandler,Default_Handler + + .weak DMA2_Stream0_IRQHandler + .thumb_set DMA2_Stream0_IRQHandler,Default_Handler + + .weak DMA2_Stream1_IRQHandler + .thumb_set DMA2_Stream1_IRQHandler,Default_Handler + + .weak DMA2_Stream2_IRQHandler + .thumb_set DMA2_Stream2_IRQHandler,Default_Handler + + .weak DMA2_Stream3_IRQHandler + .thumb_set DMA2_Stream3_IRQHandler,Default_Handler + + .weak DMA2_Stream4_IRQHandler + .thumb_set DMA2_Stream4_IRQHandler,Default_Handler + + .weak CAN2_TX_IRQHandler + .thumb_set CAN2_TX_IRQHandler,Default_Handler + + .weak CAN2_RX0_IRQHandler + .thumb_set CAN2_RX0_IRQHandler,Default_Handler + + .weak CAN2_RX1_IRQHandler + .thumb_set CAN2_RX1_IRQHandler,Default_Handler + + .weak CAN2_SCE_IRQHandler + .thumb_set CAN2_SCE_IRQHandler,Default_Handler + + .weak OTG_FS_IRQHandler + .thumb_set OTG_FS_IRQHandler,Default_Handler + + .weak DMA2_Stream5_IRQHandler + .thumb_set DMA2_Stream5_IRQHandler,Default_Handler + + .weak DMA2_Stream6_IRQHandler + .thumb_set DMA2_Stream6_IRQHandler,Default_Handler + + .weak DMA2_Stream7_IRQHandler + .thumb_set DMA2_Stream7_IRQHandler,Default_Handler + + .weak USART6_IRQHandler + .thumb_set USART6_IRQHandler,Default_Handler + + .weak I2C3_EV_IRQHandler + .thumb_set I2C3_EV_IRQHandler,Default_Handler + + .weak I2C3_ER_IRQHandler + .thumb_set I2C3_ER_IRQHandler,Default_Handler + + .weak OTG_HS_EP1_OUT_IRQHandler + .thumb_set OTG_HS_EP1_OUT_IRQHandler,Default_Handler + + .weak OTG_HS_EP1_IN_IRQHandler + .thumb_set OTG_HS_EP1_IN_IRQHandler,Default_Handler + + .weak OTG_HS_WKUP_IRQHandler + .thumb_set OTG_HS_WKUP_IRQHandler,Default_Handler + + .weak OTG_HS_IRQHandler + .thumb_set OTG_HS_IRQHandler,Default_Handler + + .weak HASH_RNG_IRQHandler + .thumb_set HASH_RNG_IRQHandler,Default_Handler + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/startup_stm32f413xx.s b/board/startup_stm32f413xx.s new file mode 100644 index 0000000..00b645d --- /dev/null +++ b/board/startup_stm32f413xx.s @@ -0,0 +1,583 @@ +/** + ****************************************************************************** + * @file startup_stm32f413xx.s + * @author MCD Application Team + * @version V2.6.0 + * @date 04-November-2016 + * @brief STM32F413xx Devices vector table for GCC based toolchains. + * This module performs: + * - Set the initial SP + * - Set the initial PC == Reset_Handler, + * - Set the vector table entries with the exceptions ISR address + * - Branches to main in the C library (which eventually + * calls main()). + * After Reset the Cortex-M4 processor is in Thread mode, + * priority is Privileged, and the Stack is set to Main. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT 2016 STMicroelectronics

+ * + * Redistribution and use in source and binary forms, with or without modification, + * are permitted provided that the following conditions are met: + * 1. Redistributions of source code must retain the above copyright notice, + * this list of conditions and the following disclaimer. + * 2. Redistributions in binary form must reproduce the above copyright notice, + * this list of conditions and the following disclaimer in the documentation + * and/or other materials provided with the distribution. + * 3. Neither the name of STMicroelectronics nor the names of its contributors + * may be used to endorse or promote products derived from this software + * without specific prior written permission. + * + * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE + * DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE + * FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL + * DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR + * SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER + * CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, + * OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE + * OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. + * + ****************************************************************************** + */ + + .syntax unified + .cpu cortex-m4 + .fpu softvfp + .thumb + +.global g_pfnVectors +.global Default_Handler + +/* start address for the initialization values of the .data section. +defined in linker script */ +.word _sidata +/* start address for the .data section. defined in linker script */ +.word _sdata +/* end address for the .data section. defined in linker script */ +.word _edata +/* start address for the .bss section. defined in linker script */ +.word _sbss +/* end address for the .bss section. defined in linker script */ +.word _ebss +/* stack used for SystemInit_ExtMemCtl; always internal RAM used */ + +/** + * @brief This is the code that gets called when the processor first + * starts execution following a reset event. Only the absolutely + * necessary set is performed, after which the application + * supplied main() routine is called. + * @param None + * @retval : None +*/ + + .section .text.Reset_Handler + .weak Reset_Handler + .type Reset_Handler, %function +Reset_Handler: + ldr sp, =_estack /* set stack pointer */ + bl __initialize_hardware_early + +/* Copy the data segment initializers from flash to SRAM */ + movs r1, #0 + b LoopCopyDataInit + +CopyDataInit: + ldr r3, =_sidata + ldr r3, [r3, r1] + str r3, [r0, r1] + adds r1, r1, #4 + +LoopCopyDataInit: + ldr r0, =_sdata + ldr r3, =_edata + adds r2, r0, r1 + cmp r2, r3 + bcc CopyDataInit + ldr r2, =_sbss + b LoopFillZerobss +/* Zero fill the bss segment. */ +FillZerobss: + movs r3, #0 + str r3, [r2], #4 + +LoopFillZerobss: + ldr r3, = _ebss + cmp r2, r3 + bcc FillZerobss + +/* Call the clock system intitialization function.*/ + /* bl SystemInit */ +/* Call static constructors */ + /* bl __libc_init_array */ +/* Call the application's entry point.*/ + bl main + bx lr +.size Reset_Handler, .-Reset_Handler + +/** + * @brief This is the code that gets called when the processor receives an + * unexpected interrupt. This simply enters an infinite loop, preserving + * the system state for examination by a debugger. + * @param None + * @retval None +*/ + .section .text.Default_Handler,"ax",%progbits +Default_Handler: +Infinite_Loop: + b Infinite_Loop + .size Default_Handler, .-Default_Handler +/****************************************************************************** +* +* The minimal vector table for a Cortex M3. Note that the proper constructs +* must be placed on this to ensure that it ends up at physical address +* 0x0000.0000. +* +*******************************************************************************/ + .section .isr_vector,"a",%progbits + .type g_pfnVectors, %object + .size g_pfnVectors, .-g_pfnVectors + +g_pfnVectors: + .word _estack + .word Reset_Handler + .word NMI_Handler + .word HardFault_Handler + .word MemManage_Handler + .word BusFault_Handler + .word UsageFault_Handler + .word 0 + .word 0 + .word 0 + .word 0 + .word SVC_Handler + .word DebugMon_Handler + .word 0 + .word PendSV_Handler + .word SysTick_Handler + + /* External Interrupts */ + .word WWDG_IRQHandler /* Window WatchDog */ + .word PVD_IRQHandler /* PVD through EXTI Line detection */ + .word TAMP_STAMP_IRQHandler /* Tamper and TimeStamps through the EXTI line */ + .word RTC_WKUP_IRQHandler /* RTC Wakeup through the EXTI line */ + .word FLASH_IRQHandler /* FLASH */ + .word RCC_IRQHandler /* RCC */ + .word EXTI0_IRQHandler /* EXTI Line0 */ + .word EXTI1_IRQHandler /* EXTI Line1 */ + .word EXTI2_IRQHandler /* EXTI Line2 */ + .word EXTI3_IRQHandler /* EXTI Line3 */ + .word EXTI4_IRQHandler /* EXTI Line4 */ + .word DMA1_Stream0_IRQHandler /* DMA1 Stream 0 */ + .word DMA1_Stream1_IRQHandler /* DMA1 Stream 1 */ + .word DMA1_Stream2_IRQHandler /* DMA1 Stream 2 */ + .word DMA1_Stream3_IRQHandler /* DMA1 Stream 3 */ + .word DMA1_Stream4_IRQHandler /* DMA1 Stream 4 */ + .word DMA1_Stream5_IRQHandler /* DMA1 Stream 5 */ + .word DMA1_Stream6_IRQHandler /* DMA1 Stream 6 */ + .word ADC_IRQHandler /* ADC1, ADC2 and ADC3s */ + .word CAN1_TX_IRQHandler /* CAN1 TX */ + .word CAN1_RX0_IRQHandler /* CAN1 RX0 */ + .word CAN1_RX1_IRQHandler /* CAN1 RX1 */ + .word CAN1_SCE_IRQHandler /* CAN1 SCE */ + .word EXTI9_5_IRQHandler /* External Line[9:5]s */ + .word TIM1_BRK_TIM9_IRQHandler /* TIM1 Break and TIM9 */ + .word TIM1_UP_TIM10_IRQHandler /* TIM1 Update and TIM10 */ + .word TIM1_TRG_COM_TIM11_IRQHandler /* TIM1 Trigger and Commutation and TIM11 */ + .word TIM1_CC_IRQHandler /* TIM1 Capture Compare */ + .word TIM2_IRQHandler /* TIM2 */ + .word TIM3_IRQHandler /* TIM3 */ + .word TIM4_IRQHandler /* TIM4 */ + .word I2C1_EV_IRQHandler /* I2C1 Event */ + .word I2C1_ER_IRQHandler /* I2C1 Error */ + .word I2C2_EV_IRQHandler /* I2C2 Event */ + .word I2C2_ER_IRQHandler /* I2C2 Error */ + .word SPI1_IRQHandler /* SPI1 */ + .word SPI2_IRQHandler /* SPI2 */ + .word USART1_IRQHandler /* USART1 */ + .word USART2_IRQHandler /* USART2 */ + .word USART3_IRQHandler /* USART3 */ + .word EXTI15_10_IRQHandler /* External Line[15:10]s */ + .word RTC_Alarm_IRQHandler /* RTC Alarm (A and B) through EXTI Line */ + .word OTG_FS_WKUP_IRQHandler /* USB OTG FS Wakeup through EXTI line */ + .word TIM8_BRK_TIM12_IRQHandler /* TIM8 Break and TIM12 */ + .word TIM8_UP_TIM13_IRQHandler /* TIM8 Update and TIM13 */ + .word TIM8_TRG_COM_TIM14_IRQHandler /* TIM8 Trigger and Commutation and TIM14 */ + .word TIM8_CC_IRQHandler /* TIM8 Capture Compare */ + .word DMA1_Stream7_IRQHandler /* DMA1 Stream7 */ + .word FSMC_IRQHandler /* FSMC */ + .word SDIO_IRQHandler /* SDIO */ + .word TIM5_IRQHandler /* TIM5 */ + .word SPI3_IRQHandler /* SPI3 */ + .word UART4_IRQHandler /* UART4 */ + .word UART5_IRQHandler /* UART5 */ + .word TIM6_DAC_IRQHandler /* TIM6, DAC1 and DAC2 */ + .word TIM7_IRQHandler /* TIM7 */ + .word DMA2_Stream0_IRQHandler /* DMA2 Stream 0 */ + .word DMA2_Stream1_IRQHandler /* DMA2 Stream 1 */ + .word DMA2_Stream2_IRQHandler /* DMA2 Stream 2 */ + .word DMA2_Stream3_IRQHandler /* DMA2 Stream 3 */ + .word DMA2_Stream4_IRQHandler /* DMA2 Stream 4 */ + .word DFSDM1_FLT0_IRQHandler /* DFSDM1 Filter0 */ + .word DFSDM1_FLT1_IRQHandler /* DFSDM1 Filter1 */ + .word CAN2_TX_IRQHandler /* CAN2 TX */ + .word CAN2_RX0_IRQHandler /* CAN2 RX0 */ + .word CAN2_RX1_IRQHandler /* CAN2 RX1 */ + .word CAN2_SCE_IRQHandler /* CAN2 SCE */ + .word OTG_FS_IRQHandler /* USB OTG FS */ + .word DMA2_Stream5_IRQHandler /* DMA2 Stream 5 */ + .word DMA2_Stream6_IRQHandler /* DMA2 Stream 6 */ + .word DMA2_Stream7_IRQHandler /* DMA2 Stream 7 */ + .word USART6_IRQHandler /* USART6 */ + .word I2C3_EV_IRQHandler /* I2C3 event */ + .word I2C3_ER_IRQHandler /* I2C3 error */ + .word CAN3_TX_IRQHandler /* CAN3 TX */ + .word CAN3_RX0_IRQHandler /* CAN3 RX0 */ + .word CAN3_RX1_IRQHandler /* CAN3 RX1 */ + .word CAN3_SCE_IRQHandler /* CAN3 SCE */ + .word 0 /* Reserved */ + .word 0 /* Reserved */ + .word RNG_IRQHandler /* RNG */ + .word FPU_IRQHandler /* FPU */ + .word UART7_IRQHandler /* UART7 */ + .word UART8_IRQHandler /* UART8 */ + .word SPI4_IRQHandler /* SPI4 */ + .word SPI5_IRQHandler /* SPI5 */ + .word 0 /* Reserved */ + .word SAI1_IRQHandler /* SAI1 */ + .word UART9_IRQHandler /* UART9 */ + .word UART10_IRQHandler /* UART10 */ + .word 0 /* Reserved */ + .word 0 /* Reserved */ + .word QUADSPI_IRQHandler /* QuadSPI */ + .word 0 /* Reserved */ + .word 0 /* Reserved */ + .word FMPI2C1_EV_IRQHandler /* FMPI2C1 Event */ + .word FMPI2C1_ER_IRQHandler /* FMPI2C1 Error */ + .word LPTIM1_IRQHandler /* LPTIM1 */ + .word DFSDM2_FLT0_IRQHandler /* DFSDM2 Filter0 */ + .word DFSDM2_FLT1_IRQHandler /* DFSDM2 Filter1 */ + .word DFSDM2_FLT2_IRQHandler /* DFSDM2 Filter2 */ + .word DFSDM2_FLT3_IRQHandler /* DFSDM2 Filter3 */ + +/******************************************************************************* +* +* Provide weak aliases for each Exception handler to the Default_Handler. +* As they are weak aliases, any function with the same name will override +* this definition. +* +*******************************************************************************/ + .weak NMI_Handler + .thumb_set NMI_Handler,Default_Handler + + .weak HardFault_Handler + .thumb_set HardFault_Handler,Default_Handler + + .weak MemManage_Handler + .thumb_set MemManage_Handler,Default_Handler + + .weak BusFault_Handler + .thumb_set BusFault_Handler,Default_Handler + + .weak UsageFault_Handler + .thumb_set UsageFault_Handler,Default_Handler + + .weak SVC_Handler + .thumb_set SVC_Handler,Default_Handler + + .weak DebugMon_Handler + .thumb_set DebugMon_Handler,Default_Handler + + .weak PendSV_Handler + .thumb_set PendSV_Handler,Default_Handler + + .weak SysTick_Handler + .thumb_set SysTick_Handler,Default_Handler + + .weak WWDG_IRQHandler + .thumb_set WWDG_IRQHandler,Default_Handler + + .weak PVD_IRQHandler + .thumb_set PVD_IRQHandler,Default_Handler + + .weak TAMP_STAMP_IRQHandler + .thumb_set TAMP_STAMP_IRQHandler,Default_Handler + + .weak RTC_WKUP_IRQHandler + .thumb_set RTC_WKUP_IRQHandler,Default_Handler + + .weak FLASH_IRQHandler + .thumb_set FLASH_IRQHandler,Default_Handler + + .weak RCC_IRQHandler + .thumb_set RCC_IRQHandler,Default_Handler + + .weak EXTI0_IRQHandler + .thumb_set EXTI0_IRQHandler,Default_Handler + + .weak EXTI1_IRQHandler + .thumb_set EXTI1_IRQHandler,Default_Handler + + .weak EXTI2_IRQHandler + .thumb_set EXTI2_IRQHandler,Default_Handler + + .weak EXTI3_IRQHandler + .thumb_set EXTI3_IRQHandler,Default_Handler + + .weak EXTI4_IRQHandler + .thumb_set EXTI4_IRQHandler,Default_Handler + + .weak DMA1_Stream0_IRQHandler + .thumb_set DMA1_Stream0_IRQHandler,Default_Handler + + .weak DMA1_Stream1_IRQHandler + .thumb_set DMA1_Stream1_IRQHandler,Default_Handler + + .weak DMA1_Stream2_IRQHandler + .thumb_set DMA1_Stream2_IRQHandler,Default_Handler + + .weak DMA1_Stream3_IRQHandler + .thumb_set DMA1_Stream3_IRQHandler,Default_Handler + + .weak DMA1_Stream4_IRQHandler + .thumb_set DMA1_Stream4_IRQHandler,Default_Handler + + .weak DMA1_Stream5_IRQHandler + .thumb_set DMA1_Stream5_IRQHandler,Default_Handler + + .weak DMA1_Stream6_IRQHandler + .thumb_set DMA1_Stream6_IRQHandler,Default_Handler + + .weak ADC_IRQHandler + .thumb_set ADC_IRQHandler,Default_Handler + + .weak CAN1_TX_IRQHandler + .thumb_set CAN1_TX_IRQHandler,Default_Handler + + .weak CAN1_RX0_IRQHandler + .thumb_set CAN1_RX0_IRQHandler,Default_Handler + + .weak CAN1_RX1_IRQHandler + .thumb_set CAN1_RX1_IRQHandler,Default_Handler + + .weak CAN1_SCE_IRQHandler + .thumb_set CAN1_SCE_IRQHandler,Default_Handler + + .weak EXTI9_5_IRQHandler + .thumb_set EXTI9_5_IRQHandler,Default_Handler + + .weak TIM1_BRK_TIM9_IRQHandler + .thumb_set TIM1_BRK_TIM9_IRQHandler,Default_Handler + + .weak TIM1_UP_TIM10_IRQHandler + .thumb_set TIM1_UP_TIM10_IRQHandler,Default_Handler + + .weak TIM1_TRG_COM_TIM11_IRQHandler + .thumb_set TIM1_TRG_COM_TIM11_IRQHandler,Default_Handler + + .weak TIM1_CC_IRQHandler + .thumb_set TIM1_CC_IRQHandler,Default_Handler + + .weak TIM2_IRQHandler + .thumb_set TIM2_IRQHandler,Default_Handler + + .weak TIM3_IRQHandler + .thumb_set TIM3_IRQHandler,Default_Handler + + .weak TIM4_IRQHandler + .thumb_set TIM4_IRQHandler,Default_Handler + + .weak I2C1_EV_IRQHandler + .thumb_set I2C1_EV_IRQHandler,Default_Handler + + .weak I2C1_ER_IRQHandler + .thumb_set I2C1_ER_IRQHandler,Default_Handler + + .weak I2C2_EV_IRQHandler + .thumb_set I2C2_EV_IRQHandler,Default_Handler + + .weak I2C2_ER_IRQHandler + .thumb_set I2C2_ER_IRQHandler,Default_Handler + + .weak SPI1_IRQHandler + .thumb_set SPI1_IRQHandler,Default_Handler + + .weak SPI2_IRQHandler + .thumb_set SPI2_IRQHandler,Default_Handler + + .weak USART1_IRQHandler + .thumb_set USART1_IRQHandler,Default_Handler + + .weak USART2_IRQHandler + .thumb_set USART2_IRQHandler,Default_Handler + + .weak USART3_IRQHandler + .thumb_set USART3_IRQHandler,Default_Handler + + .weak EXTI15_10_IRQHandler + .thumb_set EXTI15_10_IRQHandler,Default_Handler + + .weak RTC_Alarm_IRQHandler + .thumb_set RTC_Alarm_IRQHandler,Default_Handler + + .weak OTG_FS_WKUP_IRQHandler + .thumb_set OTG_FS_WKUP_IRQHandler,Default_Handler + + .weak TIM8_BRK_TIM12_IRQHandler + .thumb_set TIM8_BRK_TIM12_IRQHandler,Default_Handler + + .weak TIM8_UP_TIM13_IRQHandler + .thumb_set TIM8_UP_TIM13_IRQHandler,Default_Handler + + .weak TIM8_TRG_COM_TIM14_IRQHandler + .thumb_set TIM8_TRG_COM_TIM14_IRQHandler,Default_Handler + + .weak TIM8_CC_IRQHandler + .thumb_set TIM8_CC_IRQHandler,Default_Handler + + .weak DMA1_Stream7_IRQHandler + .thumb_set DMA1_Stream7_IRQHandler,Default_Handler + + .weak FSMC_IRQHandler + .thumb_set FSMC_IRQHandler,Default_Handler + + .weak SDIO_IRQHandler + .thumb_set SDIO_IRQHandler,Default_Handler + + .weak TIM5_IRQHandler + .thumb_set TIM5_IRQHandler,Default_Handler + + .weak SPI3_IRQHandler + .thumb_set SPI3_IRQHandler,Default_Handler + + .weak UART4_IRQHandler + .thumb_set UART4_IRQHandler,Default_Handler + + .weak UART5_IRQHandler + .thumb_set UART5_IRQHandler,Default_Handler + + .weak TIM6_DAC_IRQHandler + .thumb_set TIM6_DAC_IRQHandler,Default_Handler + + .weak TIM7_IRQHandler + .thumb_set TIM7_IRQHandler,Default_Handler + + .weak DMA2_Stream0_IRQHandler + .thumb_set DMA2_Stream0_IRQHandler,Default_Handler + + .weak DMA2_Stream1_IRQHandler + .thumb_set DMA2_Stream1_IRQHandler,Default_Handler + + .weak DMA2_Stream2_IRQHandler + .thumb_set DMA2_Stream2_IRQHandler,Default_Handler + + .weak DMA2_Stream3_IRQHandler + .thumb_set DMA2_Stream3_IRQHandler,Default_Handler + + .weak DMA2_Stream4_IRQHandler + .thumb_set DMA2_Stream4_IRQHandler,Default_Handler + + .weak DFSDM1_FLT0_IRQHandler + .thumb_set DFSDM1_FLT0_IRQHandler,Default_Handler + + .weak DFSDM1_FLT1_IRQHandler + .thumb_set DFSDM1_FLT1_IRQHandler,Default_Handler + + .weak CAN2_TX_IRQHandler + .thumb_set CAN2_TX_IRQHandler,Default_Handler + + .weak CAN2_RX0_IRQHandler + .thumb_set CAN2_RX0_IRQHandler,Default_Handler + + .weak CAN2_RX1_IRQHandler + .thumb_set CAN2_RX1_IRQHandler,Default_Handler + + .weak CAN2_SCE_IRQHandler + .thumb_set CAN2_SCE_IRQHandler,Default_Handler + + .weak OTG_FS_IRQHandler + .thumb_set OTG_FS_IRQHandler,Default_Handler + + .weak DMA2_Stream5_IRQHandler + .thumb_set DMA2_Stream5_IRQHandler,Default_Handler + + .weak DMA2_Stream6_IRQHandler + .thumb_set DMA2_Stream6_IRQHandler,Default_Handler + + .weak DMA2_Stream7_IRQHandler + .thumb_set DMA2_Stream7_IRQHandler,Default_Handler + + .weak USART6_IRQHandler + .thumb_set USART6_IRQHandler,Default_Handler + + .weak I2C3_EV_IRQHandler + .thumb_set I2C3_EV_IRQHandler,Default_Handler + + .weak I2C3_ER_IRQHandler + .thumb_set I2C3_ER_IRQHandler,Default_Handler + + .weak CAN3_TX_IRQHandler + .thumb_set CAN3_TX_IRQHandler,Default_Handler + + .weak CAN3_RX0_IRQHandler + .thumb_set CAN3_RX0_IRQHandler,Default_Handler + + .weak CAN3_RX1_IRQHandler + .thumb_set CAN3_RX1_IRQHandler,Default_Handler + + .weak CAN3_SCE_IRQHandler + .thumb_set CAN3_SCE_IRQHandler,Default_Handler + + .weak RNG_IRQHandler + .thumb_set RNG_IRQHandler,Default_Handler + + .weak FPU_IRQHandler + .thumb_set FPU_IRQHandler,Default_Handler + + .weak UART7_IRQHandler + .thumb_set UART7_IRQHandler,Default_Handler + + .weak UART8_IRQHandler + .thumb_set UART8_IRQHandler,Default_Handler + + .weak SPI4_IRQHandler + .thumb_set SPI4_IRQHandler,Default_Handler + + .weak SPI5_IRQHandler + .thumb_set SPI5_IRQHandler,Default_Handler + + .weak SAI1_IRQHandler + .thumb_set SAI1_IRQHandler,Default_Handler + + .weak UART9_IRQHandler + .thumb_set UART9_IRQHandler,Default_Handler + + .weak UART10_IRQHandler + .thumb_set UART10_IRQHandler,Default_Handler + + .weak QUADSPI_IRQHandler + .thumb_set QUADSPI_IRQHandler,Default_Handler + + .weak FMPI2C1_EV_IRQHandler + .thumb_set FMPI2C1_EV_IRQHandler,Default_Handler + + .weak FMPI2C1_ER_IRQHandler + .thumb_set FMPI2C1_ER_IRQHandler,Default_Handler + + .weak LPTIM1_IRQHandler + .thumb_set LPTIM1_IRQHandler,Default_Handler + + .weak DFSDM2_FLT0_IRQHandler + .thumb_set DFSDM2_FLT0_IRQHandler,Default_Handler + + .weak DFSDM2_FLT1_IRQHandler + .thumb_set DFSDM2_FLT1_IRQHandler,Default_Handler + + .weak DFSDM2_FLT2_IRQHandler + .thumb_set DFSDM2_FLT2_IRQHandler,Default_Handler + + .weak DFSDM2_FLT3_IRQHandler + .thumb_set DFSDM2_FLT3_IRQHandler,Default_Handler +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/board/stm32_flash.ld b/board/stm32_flash.ld new file mode 100644 index 0000000..5003193 --- /dev/null +++ b/board/stm32_flash.ld @@ -0,0 +1,165 @@ +/* +***************************************************************************** +** +** File : stm32_flash.ld +** +** Abstract : Linker script for STM32F407VG Device with +** 1024KByte FLASH, 192KByte RAM +** +** Set heap size, stack size and stack location according +** to application requirements. +** +** Set memory bank area and size if external memory is used. +** +** Target : STMicroelectronics STM32 +** +** Environment : Atollic TrueSTUDIO(R) +** +** Distribution: The file is distributed “as is,” without any warranty +** of any kind. +** +** (c)Copyright Atollic AB. +** You may use this file as-is or modify it according to the needs of your +** project. Distribution of this file (unmodified or modified) is not +** permitted. Atollic AB permit registered Atollic TrueSTUDIO(R) users the +** rights to distribute the assembled, compiled & linked contents of this +** file as part of an application binary file, provided that it is built +** using the Atollic TrueSTUDIO(R) toolchain. +** +***************************************************************************** +*/ + +/* Entry Point */ +ENTRY(Reset_Handler) + +/* Highest address of the user mode stack */ +enter_bootloader_mode = 0x2001FFFC; +_estack = 0x2001FFFC; /* end of 128K RAM on AHB bus*/ +_app_start = 0x08004000; /* Reserve 16K for bootloader */ + +/* Generate a link error if heap and stack don't fit into RAM */ +_Min_Heap_Size = 0; /* required amount of heap */ +_Min_Stack_Size = 0x400; /* required amount of stack */ + +/* Specify the memory areas */ +MEMORY +{ + FLASH (rx) : ORIGIN = 0x08000000, LENGTH = 128K + RAM (xrw) : ORIGIN = 0x20000000, LENGTH = 128K + MEMORY_B1 (rx) : ORIGIN = 0x60000000, LENGTH = 0K +} + +/* Define output sections */ +SECTIONS +{ + /* The startup code goes first into FLASH */ + .isr_vector : + { + . = ALIGN(4); + KEEP(*(.isr_vector)) /* Startup code */ + . = ALIGN(4); + } >FLASH + + /* The program code and other data goes into FLASH */ + .text : + { + . = ALIGN(4); + *(.text) /* .text sections (code) */ + *(.text*) /* .text* sections (code) */ + *(.rodata) /* .rodata sections (constants, strings, etc.) */ + *(.rodata*) /* .rodata* sections (constants, strings, etc.) */ + *(.glue_7) /* glue arm to thumb code */ + *(.glue_7t) /* glue thumb to arm code */ + *(.eh_frame) + + KEEP (*(.init)) + KEEP (*(.fini)) + + . = ALIGN(4); + _etext = .; /* define a global symbols at end of code */ + _exit = .; + } >FLASH + + + .ARM.extab : { *(.ARM.extab* .gnu.linkonce.armextab.*) } >FLASH + .ARM : { + __exidx_start = .; + *(.ARM.exidx*) + __exidx_end = .; + } >FLASH + + .preinit_array : + { + PROVIDE_HIDDEN (__preinit_array_start = .); + KEEP (*(.preinit_array*)) + PROVIDE_HIDDEN (__preinit_array_end = .); + } >FLASH + .init_array : + { + PROVIDE_HIDDEN (__init_array_start = .); + KEEP (*(SORT(.init_array.*))) + KEEP (*(.init_array*)) + PROVIDE_HIDDEN (__init_array_end = .); + } >FLASH + .fini_array : + { + PROVIDE_HIDDEN (__fini_array_start = .); + KEEP (*(.fini_array*)) + KEEP (*(SORT(.fini_array.*))) + PROVIDE_HIDDEN (__fini_array_end = .); + } >FLASH + + /* used by the startup to initialize data */ + _sidata = .; + + /* Initialized data sections goes into RAM, load LMA copy after code */ + .data : AT ( _sidata ) + { + . = ALIGN(4); + _sdata = .; /* create a global symbol at data start */ + *(.data) /* .data sections */ + *(.data*) /* .data* sections */ + + . = ALIGN(4); + _edata = .; /* define a global symbol at data end */ + } >RAM + + /* Uninitialized data section */ + . = ALIGN(4); + .bss : + { + /* This is used by the startup in order to initialize the .bss secion */ + _sbss = .; /* define a global symbol at bss start */ + __bss_start__ = _sbss; + *(.bss) + *(.bss*) + *(COMMON) + + . = ALIGN(4); + _ebss = .; /* define a global symbol at bss end */ + __bss_end__ = _ebss; + } >RAM + + /* User_heap_stack section, used to check that there is enough RAM left */ + ._user_heap_stack : + { + . = ALIGN(4); + PROVIDE ( end = . ); + PROVIDE ( _end = . ); + . = . + _Min_Heap_Size; + . = . + _Min_Stack_Size; + . = ALIGN(4); + } >RAM + + /* MEMORY_bank1 section, code must be located here explicitly */ + /* Example: extern int foo(void) __attribute__ ((section (".mb1text"))); */ + .memory_b1_text : + { + *(.mb1text) /* .mb1text sections (code) */ + *(.mb1text*) /* .mb1text* sections (code) */ + *(.mb1rodata) /* read-only data (constants) */ + *(.mb1rodata*) + } >MEMORY_B1 + + .ARM.attributes 0 : { *(.ARM.attributes) } +} diff --git a/board/timer.h b/board/timer.h new file mode 100644 index 0000000..a14b619 --- /dev/null +++ b/board/timer.h @@ -0,0 +1,7 @@ +void timer_init(TIM_TypeDef *TIM, int psc) { + TIM->PSC = psc-1; + TIM->DIER = TIM_DIER_UIE; + TIM->CR1 = TIM_CR1_CEN; + TIM->SR = 0; +} + diff --git a/board/tools/dfu-util-aarch64 b/board/tools/dfu-util-aarch64 new file mode 100755 index 0000000000000000000000000000000000000000..250c592ada97954922020a4509c669a53a03a016 GIT binary patch literal 116048 zcmeFa34D`P_BVc$v}w{72y~?_GzAK6ponE_D@)QAEQ^3`0l_7tZAwFzv`s5iM2e_W z!EuPdh|3I{&XnpnD4^7hMP~+4M-<$3EV%p%I0~{g3ikb;``nv81ggLH{r^6n|NHs8 zQ+RUjJ$FC%+;h)4_vXp+Nz*55H5w-WbnFF2u|tUp#wrqKz1)?@$}(68v$8=fiRqCZ zj7R1RgiWHDga+iLKOLSRJO@O2AdI3+_>)k!PLSH`?yvb_B}GEUN27dFU)d%loox~| z3b;XZ%wQDhqMQ~{DwncZ!KZ9i%1L-iR3o7rAB~NkHKIR(FjlFKNl1C=@5Zm|N_an& z=&yd7M2>4b4W2q@et2lIuf?PO8z~~`fDw2G;j!Qe!!sUF9G>2I z=x>+;SSld#)Nni_@MPo3!;_1L{-)tcz%zj&zw)O8mqCW1j=QH@IrklgdJq>m&?B>y z2EqTRmj8Vz@PX^)xo+y|i)D2+J}K)azo8ra@^0|wx~V_C8+=|j`0{S>k8ry6V&(uo zd;|Tt8hsMG>F1B#)c;*K`1`uSAM6Idyc>M8nD@qjd0*X4{^vygrhxo=1pa{l{PUa+ zkyr4^+dO|4|44a0p&R;Wy1_fT!N1Sz@5xL7^YS6@&z180WH8~pli@DF!G z&!OGqr|sry>*6axx8{I-=i_ejzt#<&Rk(`kJw<8P4j(bx;~qXj#O=lIs=8Wtg}tuU zQROLh)=Ip`S!XYC7S%75h~f%|tJ3bOs&m$sI*OeVU0UmOQYEFXg~;zIu60${x&5`& zSJgU8fxq3=RbnBpnJ`x=K+XEwusbU1?7-Bys{GyH?I{)7D_ovB*$;QMvr2|lEvT~) zy4#-CIxCzGkF%?Bb!DKOy{f*lsEa&Qm#3~49a9a$?(h`5T(V%TQ=y@H?9L@Fe~(>N zUEyD(lB1*qb$MjH#T9N3Cx1x=W|G)iDf zj3$#l93^bAqqb@ZbJV$AtaNd$tIkPnGP|?HQRhI*MII06?WnC&hwXL|b5@n`uz&y< zuB!?kb;V_N7x63SC0A96bBVxuoV6}Tg}tP-{xSpu!d~lkQ$w@zCrz0#rOv$lws zz;5rhf3$R}9GI8sQ?hf!UaeuuKgP5?qy>aH;-6h%B{SuqG%BHlDb{6G%Nn{$^JG=M zO5G4g9H-PnIHeEj_LP>o%724G!VF-=J;;*OG9Tn1{Ym>lCpM5F%M^UZCTa;bmcWk` zcxwQDg23km;HL=utN{G=zftPHDFE*j_yqxYufUfD;NKSb>Hz$A0>2~xAJ(L_+ZcdP z7Wk$Be6GN+3BWHB_zeO0zX<%M0KEQwrQPNL{2YPb9)NEY_}u~c?E=3y0RM@=9|*wr zU8S^pC;&h6J_Y|#0Di8(w*}xG0^c5hcM1Hd0Q?ex?+C!(E$~eEeI#Fb1>O*V-y-m) z0Q@ro9~*%Go4}g`@JA$m>*f5MXIAKt5`dTX@rVGtw2w0a@X|iE2H>S#J1YRs1YK?l zz)SmSK>%LbPh|mkX(ufSz)L%(F#s>^lBNK>S@dU30AA{|8v^iBZ`~AtmwIb+0AAWR z+XL_>(eCa5ywuB@g&r*VWLA|jUk3v4vjx6A0Dr5%8~$|pyx+Q1%zFTSY`KEZ3&3O7 z=YM4Z`0xq^-xPqa75SS3@QVfhKmh(;fo~7M7m4}}f4;o`_lx`~0r&?6J}&_Oh`^Tx z;Qt`-O#%2P1im={-?Bs*?|}gPb0U9x0Dk&iN`8aTv*kP<5%MY}0RO6}Cocg1mc$D_ z(O^GP`vt32_#~xC{`rx>Ymq-hg>O^g4Jv%Q3QvAL`FBc%C*Pv{>rmmzm+Aj|LYXgW zx2GS;m_db?equ^Csqk`-CVZ?4ul5g_Rd}_1lcK_tk5c}PP~oLdnbI;;c=9pJKdTBa zebSVcr@~8LJK<-k@Z?LCe>bV{(q~O+3siXWf6Biy6f@T6)Let^sjxI~2?sKPg@ z@PkzNCKZ0L3cp5$AELrqDj;mL0- z{|>0|*GeSdAr(Gdh5tx}m;P%?Zd2j;!GAKgD!)~Q zm*+K;{6dnriu?hT-&>={lSP2P{l2X zXRG4F5ie53(-FU06(5867F9e8@%^g!1jLW2;@OC6`*gKG8Sw$C_*BHRRq+{!7pda; zh~KS>&p~{PDn1|a{i^tFh#ynMixAgFbhTfK_yARW5#rgZcopJBs(3BpcdO#JBfdoy zzXS38s`y=qA5+EeMqC@&)&6~m4^YKdA)c*@KZtmdD*h1ScdO#RM|_Ja{wU)6Rq;O} zeoPhLg19!StNlMAK0p<3K|EU(e+Ka)Rs1=`?^ea1M|_Ja{vzW0m3Uk6#MRInA}?W` zUD7eHm9d?SP5j`}(tXW)b@mVTW9|JN&)@L8j_1?mE$y-GQjX5s*%`d8voi>fZrcHrH?-P(9Xd@5?0L&E zU1Bry*=u~v8|BG2m#mM2zf-fh$MwH(#Owj9$%px*eFJ-WEv&8XWD#Cj)wuBk~` z#0s14Xx?qqM6Pbvv2?R0@dMtsCv851#_DTNWWHv!OZ9z=F;brPc-HDg8#3RD;Kuw2 z|>JnNm>S9`m?ic=K^BsMSc`<*M zHm%jyigNAoRv(Skyg9g)#)m$PYDd~Z;PPf;%&f6b3eqm{dZ<3Cm+J2eUWl~Ne7EbO zEi}i!Q}va`v`miak>J)5=o|XzleC~Vq z>LN~>gIJ+0q%oiJ{1f=bAhr{{;Z4*eoV;hX^~ZBDjgOiIZ4%LEYAX@+Y!6|amJ2yg zD0D3e=xaXeYfEIUdQtwk&d`$bNM5TUnDtJ1#L!A(oWskT(y4FM$B|mLGXnI9!vmcq z@*LK}NDbRr2A)aW#%lI5R+zYp)vVC4!gif0o$^7)jwDE9hTaaESbfA(R6p_61hjE< zCCyPnI*oG{`qds`_5JwZq}D`D#7To`<9y0bw4(ee_XoF*Mn9TGo0~+Nn*o1Bo1y;p zSY%hdQ7u`b-b78*$@#j*xF0d5mr)PVEaLu2oOThI6N<-S9v%*1UWN8CEpC);3bAIM z!#dfcWv4HOtbdfoYsENeyf!gj8Y_*D#zt$OWPl#yqp?%Jy808-5-s{eTf1F2rkc-BX| z%vA6@q)20^Q*)~|^D)G?p?nI$EVpB< z8Tg}+og}B8MjFQvt%eQt)*-$Lc{gLfm>b5{B@SZO9tFSgF*Nq`9ziE zJk-^kGk-VEvPTh|622wh_2T`tq01WcjmwOWrCusMd>ngi%I47cLooW#h<@_+bBB2+i980QH8UkB zcC1o1-b?i2^8`9Qf$%0>#~AEg*DgT)81I^-dxm+f#>V_tkd~MVo|N>l#(5u5l_lC= zLv4-*&56FbY2LcKOa(&%^0*O)?G>rCpWs~@kiJkAKOn-1{h05Io~*_^mKBBtvvrSy zh6apvDrk8Ba_ibfpyTT0hIpc%YnkOS%cbRqLC>|Rn$WP6zW}eIr(v0Ot(vAeXoL8g zWU7?K(cp2SNy;dNuggXWzP2WKXUhHwzNYatrYL-E01Z=8*iITZA75jHm+F`FB^sT% zh;?A;7)!V_I;QY{^9ZlTVa+^*G<9AraGjJtRnQbX`wzq=&$fhu-XR@h$AaFlL)T#6 z*bdsRfepL80JOPqY^e9~oPplhm3_UL*9`T}&x!MTK&yDrj`;MyP+szB8}{|>)INB# zNyA1Nm+esTWc+0`RMU^f!+8?Cf&H_vX=(FrgC=1$gFe-Uy*H$-!_ zyzm*>I@UwTi``?rnW(1(>+~4*nG-r|EA2IQ>@~+@Hpbb_mU1iF#r`$wIQEx`8bi5R z%L*qZjVf=0d^pbY8u`9N?U0HG>QV^reE!9^Xq|`?`-?3iSR-*Nnpw)K_V-8a4sYgAa<95~<>79cx_2sB8nD$-E z#USurl&BB;Fyt}qCoP`?&jgBnjuQeHMjdAXi~wqcW4&OnZ_ zXyWq-%Y3wF^vlF(?-;~!;u$H&J6yxowMC-Ncxx-}NR0}dyH#k{FY7UP2SEcq78dOt zh`QwZ8iw!!>9FOd!KRxE+iuFXi|)K_Z5TW3 zJulgl*z?w{#Dg`tJt@4mcdwx_eA_P8J! z&l}0d!esn)rH+fBE9rS;zkF1XP3!eI*1U$XQz6jF?w!E;41#{9$CI={ zX{S|``?G*g0-ovBzvI$U4QzhqZAMw569Z%muJdZ*VJizdVePe0dpzy+30}wa#(bh5 z;r|Ler){CZyLnO{iyo zpc|am=`3e-T1yb*`&p@vu@vvEvYnd-@VO%$YYEnondoV^W**}*BGK}dF0$qCkT(~w zu3?i|&V(@E3%ZW6@CUD<_#Jmy*TNP}UwZQZZyR__kqwdXImB4bp}uq4sId3-9b-GS zvE}8Ek(-gfO&1TpL|n@)SG1SF+glsLdT$eLUW9y@d#O{=)(v?8qRJvR*mA4FN6MZF&2_Glx@ z_d#YsAFy0nIvI4G1p4NJ&N-lWHe`Tp8|cs1Kj*vG2k^Br8ujz|p@BSpE#jRzE#^s! zaypa`LOsE#4|B9MWZNawA?L#f{fzBR@nW9f571cpLias~{*?8xwz}f1t#|0H%6eNf z$Uj%{8soHZjCXkmt2wD>g||T8Az#rMJau&+o@dYS7Xyk1R?-)zv z`FwNwXgI%Kb*|S9<~qU{RloY8j>h1Qv7^xs=#6V`1|4@jl>Gj~>$>8Hj;OAWM zbq>};_BQZ&*Lny~=5n|OW%zoCRQ9h*#4oyEL>UdrYEg#{bp>H9KyKw|yq&KZ-#t1| z$s=WaYN&A^_|gL zTl+TDckEz_{+xokmTS%BD@L=z2*`7ZUnKDRMzKQj;gPQWF~)2i#YVS>*fL*&J?w+M zc@F+x!$>xYc(5(T>e~XjOFAZO;SAWt9j8zRyWVK{Pg-Bl&Fg4KyT_xkRy2x!M>fSv z$g>i<{)Z#j&h`P;)-yv`E7`9nVPBr;2|obpMmXJOOIbz`&cuZjcn9e(2&N~9%}VP^^RO!9|GT3sI@gQ7`_k4ln$i7 z0RJVOPlej!9?MHY8v6bw`aZSCFZZ4NSAl&usrv3f-+!s!ndtWb>|fOHZ;*GMzGI95 zeK16UzCK;eX6VK|-WPH7_po+t9MK{czBL+;0pl?k8(R}~hLb0KorN^!b$aIAs5P8i z+0j|J19YZ2-Mm%v?(L|*5phn}>Y?5&#M{GIdNX_zjTIzGa>Hp8O}#&%vO72;>E1lcfjpH6su0n{DuazK?j!rOvUKb>>BSP5vKBN?=@bk z!wFnxK3lR0G%=Vk-|=kJPnb_SA35r=HXK`QZQylP4D8u7%g{#mb|W4 zl)9Q|U7$@`7fI33yRnuH&)R%s5Ag8YSLQn!De}FmN<*Ki4h_=e+=XDAjlRy&&Dx07 znj~xHQS9L~H^*{2zEw!PPW{NN8|Z*2Ra`QZIOv_HjQK z=o+Uo?ms|3MA=51mmGx*Jd3>M9&9v&zi9(xJ$R?3a{$hF9@2Gm_QCUz77))v8bCY` zu@3S*v=3mJ;H!>yToFAFp2l9)l8XMd#WCNprPhX{pj#X0e;7JoTQqBEN0{=UTthq3 z+6Mz7U%Q1hG!wsIjkV85ouH`^{<7n@u}nh`;EGsgJnA(hus*zef7b90`pn}PYkM4P z_!RNBKA=$;+u4ROeMs?W<})KsvYP17UWz?WgY%?Pmf3ExW|lF-7{h?ZeB#^oFVM~+ zv`sv+*#`NDvjflwef5piK=;JD0N+Nghg{-ye&Bo5bG+8N z#IWAxvy%=5PK3YvGtzavPA)j!S@^Uj+)}Oy z4}DV;W;xm33B9GUH4}2ivxRvlLcTopQ)eNqv!%e%T3Zg>)~`DYX&<=`LT0^o_|T_Q+B#>;mT9iGHlxV)Ly9?bhOK zWkH|I&6p~RXQ200O&U~LFrV9o52k-aSy`jiDv>WxFaQ*A?Ww!~^l<`lt>Dqc40aLFqR z4%>R)|7hvw)rS{eT5^~jO7;HxCARHb_yym-KsGpZ3+&IltsKp}=jUqCz7FGo-k{Sc zHWqj!68ter$99fJ-DB{K#Iq}ZsP}{Hpsoo~=+x^%R?(J`FSXE@rT%Df1jruYG z{TPUT3_?E!qYwM~tbcR}`ax$!CwH)IC(w_l(2sSX$JtAryDlxwMf*9RJ^BUSrhXZ) zXB&g8nV|-ZKODa0ez7C-`k6+S^)rlw+_xxn`el2K^qnR;b2<)x3FO8Z$XMEMZ2WvK zvgI4}0Y06UweSm(j;_bNtktsBM+Yi8JL$xaAWu7NA3E1c3R7e!+h=GU1>c0E%UIB5 z-IfsVi{OJ@mUQn+fMmOUke%+muqfS2b`^NjTPFIlsk5^%C4`Mq`VrCcI@%!JcLVQ7 zM9Wu*FF`;0>LT7Gnn8zzUDvmz3it&&?5`Xb#c{67aM2uB0-V{OFNWjnz%k&Iu|)uv zXek0t8C&eh&9t`BZ}z^S^$hgw_i+Z6_m8|*Pc+sZ^rXCh7+Mbjcjv%?nS{f+eGKJK zxEArj%=?Cn+Yq-f@81yrH9Jp(@=5Cyp9!6(zli>D++M}kat!0%1)RKhU`(+3f^LBv zgFSZw_FPgV+3`5Ps<|8It;UwGA=AFmg<67WT+k0_eZ-#uVeGF`lD9d5=F9m&X-^w!kD*NIgR!P3c zD9azn7iPj4#0bc7lVJ?z@sP$~ZKe8->on60kmF-CQK4KXmGyo#8a8qiw-H~ay5W=C zhH_N@nEMT_f5M#xI+rFq@q1n$rR{G(yXLzL1>10T(Q;R8!MQ(UZ+ePl9{(%LJh6ji zlKpuP?9U`Y=dZUiFVPxzHY^Vb8aIb_jD@{&=)wm!-%YS1e}r8hg|YsGx|hI~>O$7pYay_VP;`e86^Y}hkRz#WCHO*Uo|wd1$DcMH3+ zFYL;=%k1udA|K638)!oHLGQCroeM5P4~7lcu4kjkp1qnq_Y=;?r9DUVHtb_w?L1Eh z=Xa*~0e?jOXTd9wMV8;A|7yGPQN+n6=5xH}a=Y>-l)IwNol2cwBaOFl=UC3~QY4 zn}GZ)dogchBdoa8S!jmL##;-#HIxr?NB(b4TiEv%)E~?*+2*6r=2@iEJp!7Sj4S3L z!h&@H`##cg{v7N;+#8^BYJK7Y&HYMcU*mHjZ27y;4#lsWhX~7Jr2Udze;#sz_O89K zK`-cZ@Na1?N2#yCEr#ApHdO|lm4nxyCmo7?Kd-gvwY=5?*aJu}*{;P|B=D><$cM|> zJ69kL4nU4G{0({G>)YEykr7Qj*q96r{7OOA*87o<(<%HqFV1|X#bR%ubB`A=cB+H+ zCfZy6hPEjTx~(%n9*}>mUDqc)R? zOY1nPnA^!V@R)l1_hS5zVHgkX--(v8sp$R zR6UOCqyLui*et#tk0X9J=7Hx~qu{;*t}};xraI`gLC|l5p=;@(bHQJ_)Bv4NOFG{n z;vw>JlYK;cg+Uh;);E~l2zwlRQV{q}*U}R_f|oS#z2kt%1ho)zsq&w`0=l$=zL+!Y zVa(SAdcK6`9Q5T5+$sBMpmv*G7k@G|oa-BWPnxHT4Wl+L;5@34crM)9n%BcL<~VGw zIXdI&ZQ8hLUufe`j@!2`t|?gAuMD>0%#>g@mUOyE>|J~=?$2vouj?BITYB0=T~zsg z%*juv*QJXMrL)8~%&Ed-F_@EhOM+M*29#T>iws+iGPtK!_>!L8D9fBB9)@n-f%Cmh zsE@a!+ILr@PBY1pyQ*;nJS2I3$;`oiy;VEN{3 z=fkvz9>cvKNmrz|l+k{T^-MIPwe=m&rybh3)e3)+ewSd`h_+~t0nH(26Uu*t9lG~y zXCdcTw*vT0g34^BC?>PD7iQmga#+t_QD72G2|a@8rTJ%2Ceh zaunYrufJrxsK2je3hL&#L*u+ZK?l6hE1~23Tn*}ht+W(2#%m1zd2P$8E!nB}!w(Oh zf*)Gh*HScwX)&76X`}muJ{}@ zhI=m|@1>vmJ1=d9o$}6smB_K#5kfbo@k`UAYUx{)fc`}z4s{YVdlZs zni6YmB{>>;X~E%8^k+8Y+@6PmYTk}wg;RpWx!7LjB_GiIpTnqx_5c$qWu+}j> z*(f>#g73$&WH`gw1N|1%&bG*QI^dvFhI z@kY5m8hdzu5B!-J*18dAE7RZyg^aLJc^l+8O9}E0MtWy|R@1}43Zr$9>$tyX41*2W z%bFPx0Xs0pni(Ds8#vLL8I3l_piK|p9JEXItfg_>8HBz@TP_S>H|_wwH|nHwF``>f zgdYK~6Ys-Wlpej$(0T#qQq!OlQh#Fyl7GNZeiVKF3TNUZtCjPI2&|jfmcL?+#lALj zHSIrkT|)U#oTaqS%ubblhvPqRzr!f%3(E^eKOp1J2pX~;-Z^Oh($ab0xtqXybHRgi zz>Bl7rV7RRw_fG%4;5>wAI>jhEFP4lxlK9{#O1zQ#>16-!~>MiCGoqpyu4G!t+4l@ zn-f3qdOl)2{Z<(tr{VE=i2HiQcXZe_=;P&n0qhSgXNBHT%ykT1OCrvuNuPlKX&ufJ z4l#F-uh9s9H|>qrDtja1irj?ECLf+d%2DVUhES%^Yi}iMA** zBhoSsbIIokGUF8TlK&QbSg5p3XEsqSXOV}_$e26VH=m!sN3`t29>vF|+V_)GdJo+j z3EcNHAg>j>pv%wEVYhAbg-qgdNrN#YiT&G0{U+LhHqFqv_*|_C=5zA{(s+D#2#31aF1BhuLONj#%S`sfHXS$e;1JB?lgGk$nt}Xy!*bm*rR+z+lv!+j>sOC^!urHB?C(%KUnTi0t1 ztI0-Oj<^wZQ9V?5(ve8-v8_zh7u7Nl>4}iQwezD@!-6N&RSb8{21w- ze_fRK@sMcm(wyF2s{hzp)UlFzzuLjPyU^A*ynl4=83TVtWJ?dKH=Nak^#;u#caEb> z2W+N96RX*!HLl)_wM65YtF(W51?c$*{7e(~u$rR?(_J{le-vx^HF%_DIs2F;C$>k&u!GJfwap5N`lVpD9Sycq94P#2vuahh49 zy{{qeLp`*P+6TgyFgv<{{P8@T7GBUkkhNxN*=U~sR19bwYk3}J)Z-5v`#+IRV+POF zOvl;M^;F-P+|=_pmpqR)h&K<^8sg)iOQnRdKJCyip`)!!C}XKO!)`r~J6i3~+lXfk z;j9(+r&~VghG$6!!Facm4@Ax#&6NSZ5Sp(8bT<@pIZ?-I4e#P!Abd>}H_RLAJ@%X2 z)GyJWVE9~>Jc-D|yn27Uf30D3Uwp-bohrFg8y^BZD~t6m!uXC4%uPKp6leaahWPfO zthJeZgwHDX@yTEPOZ2%9SM)qJKN5f5Md{$lgWq-*(wPv=`8nYD9K0TZIf$~% zMx1z)^iG15=Ud>2r%rGf-O`;N2%CcMS7h76Z)8b=4~J}e(oxCh^_9*D`zsOl7yKkY z(05&eT8<+x_uZ-dYG1);r}*xSmZ-pdDj%OZUl{U{pIq&SKLa_{9+sFs1?PpdMwjau z-D}Hq^YN&1>DoCd98;UB`e;PEh=Cq!5qTqwH=x*eP@V^fzI z3R1_%_DM;~vyhByhQ3#Z`f+!zp=|zVxrR3%VS`cWq=_Ux7A~E+3z! z?>UjbUy->yKgLY@XPhCc~^(xv* zOi|W#Gx54j=Vc!+;PMo*x`pI#;y70GTawA(RaO_z>s9h<{CSD)XRrq483oB#X^RsN zsN0uqZ$!V%u&@33XkKX^beGS=dNB_++}R+#x(T#z*Fm0uzLM_8p$ksLnbSV_tlMEz zUG*+4Y|kt1yrV6$#oKY$>Ql5sb9DwXq7C$t^QFPKlh(!a{V*E*zX|smIW97R<9Y+f z;}0nD9*75?2l0Iq?FfCTr5SC}xzkgF*F9>{HRe}pBFQ#RhyQf@19yc!wnxKGr%i#q zty%r(YU6=Nmpj?%*(+8*3clOEN897%3e3l}6}i4d7Iv}`b~AmSW%QIFpLInL{I&7v zEjZ8Eg1p&{1HG#u!>9MYKW-21dDu%>=IXn`9-Gcs=JXZ7g|gGrgG9I|!UhrUgK)SA zM!$f!t!XrdD3*k{BJOSYh z5za<UOKD$@f4kLTz+<^)?bJZ?ngb1 z*mKmn3+JE5Q@K7){@6Q_=ltAPU>9_qDUw|*&H^lSr-IG^=u9BhHojYXf_2VRnWCO_Eu zxvz2kjQ44rh4VP|iTs(-=vRmRW!M3i+wXk6L${{8+py(%KbQZkOwn7v-7C7qL^Q!&dc~Gcv^;^nabNv_j-bbFsBs#x~X<6KdwWgtNtgV)^B(_t@XTbPlTOg}_TadS? z5ALoo?MY3NQcrK>AIkSeQ+j`d7ogk%IAC2d$;hjhAwm}s@u7&I)b z40SU2ok$*}LjOpG&VjI^Yb_WG-ZWV3D1*CM=@qDNqm9+9!aQ=CZb4KB>VtTi1l0{EMdN)W3jde(S2--JFy+ zH4JBxZ)~w=Ozf?x*$mtKjfW8KtEsV3_-=$}Yif?dPJZJDd&a?I_KY{*vuC`u-=2}$ z&rmQ0cDD<5cq-2GU4vOG`Pz;`Up-K3&)8RF&mjMR1H8fa5#bAiTvx_-akw`HW843n zJ)4*;yaq!xKK8R~Y9DEn0 zW1~53aE?eeFYy*=*#6;FQikKN3lN3UCMW&s4S0PLS1O zD1RiC|?%pp|Fk0=-DU?bo9^=Jn;Wt#%IuLUAR9-`)M0^dLsI~ z5B?WpZ}?qs2P6!4Kk)sdOhC8K%yCsCfy)jh+#>)}7-;UkJ%`r&&qkA=#;`tM|X zyK;tXk#lHL&UObQULK+%Jz~EU_q8EX&2FahZ5n=DVoeQ|~3) z@|VN+SS9YJYj_#GjDM!YwTSdSj#dmJj^oBKgKf8Y-E|WXfx4_JusCO=3O6Jkczd0 zGoPtO%o*)NDf7xYPDF0XU-i;&Y7LsugBG1(>Qu-W%e+M$hGlt&^E8Hop;y73&|aDE zU;u6^%I2Z2GT>9u4vjSFm*na(T}-#l>% z2LtO|rMnWhNQL_e--V-o^RcM#61NEBq&iRH-i#a%<@MvCa|hxL$RC?DW$LQI;A7g$ zFpsI&yEO3e=fVFTjd^@t(Sr`r_n;L$2uhV)NwSe|$IC#)GC*35eNDCu59BzPhP&x^=^4(sSgtfP}#ao6F`%AE(| zm&d6eBiQME(B+S7WBt66igJ=)sBN+tCC@BU&C?k{|AU-Ix_AP%yG71H;2bW}5s!7{ zmH8|I^Vbqkr_47sARnK5O_-l|-UOZec~$t6m}`k&r5c085l_(E6F-~)oo&}L_*{$! z+i^F<2%9ey-xD%m4IY01G<*qnNA^I6C;FM#>7OuO2W&u^!(Z}IZ1}D-=59rvA4M_kT!ANleZ1xRtJMP@vjHFI zV=aTOZ~*&qBJ{dO=nHf|c^~#-2l|Zt@epet>;2;2IP=3E%;SSHy`LgZch(*GR&3|U zQ)tt_Jx`4D?x8r=1dpE`=Y5IdSO+|wJKp;O#jyr>e9d_84vM309zQbP`&Wt&CLO}2 z^w%)KOTOW4|0aFG2HQvR6C1~2Ew`-9CmnJVbeDGM_$OA~jd*DJKEw|USk)(m8Nv+X zSs$WT`;d)s7L=iKVISR{Z~sfb8nb5F9kdUYX`14u0gwCoH7DO+nQt}b+(Bt!n#A;B z8Hs%;ePYs}nv=Mny0Ldy&9{KiKWpD+_vX{RREkghZNC~9!j#9Z=}`{ew9wsF7w%*; zgh{uld$qH3)<6F9sA*uvOJQPP`roI?IF!r7Lv=L+e${$;9j}Wtbz3q#LbSIX@GU%} zUhXPei26QLmsO=v`J2T2bs$d=%HAT<>i{?4G9x`__jPu%{mQdO6($_Tw-fF)w2dbP zPvq;Jon>=wuW(MvD#@zOD$a6cIkF0}>au2Mbu5+6`)y`s9 zX@l8aXb||}nUNvo2-qviMl4~xlbyu28T@}tObMlB$OJoM|2Is5h zsIPJqRXC{?dc`(gPK}pwo0ZqLqY@XJ;Wp<^o^396RP%hZ3$o41d#25f+a0b7Lb4m& zwWy)YQN?@MP4-fEeN{=4xv;juydW)&UXeb;?5Ha753a7vX;xm3ZC2iTPJ=9QdGOxq zDra$>8L#v<7uDDCCX^n8vK&WMl^ZC$hF$cEfeJMAEM@%p^;K!~qHx(~>Koo;?QyxQ z1cgKu{6+I1fq2opIk`kuj(3-%?K)N39nM-e%XK1aC1_T)P*#pth1b=4vdr`nar9kz zTRWlf>T$d(-8|l$>=|ZOa#5rtROBpi);WsH=za4_N&XUddjGuHQHoYjKc$PrV!SfG zmR?Iv70qO^joptrKRkX;(4BNi1V3Y%5uW0^OymR}BdZu%)>a3`=joCnlUk?3-ntOiAkHWG-<#m2vV{ z%*)ZG_}3e)Sbro{&{#09sC2ep++cZ#wLmljg{wUE)z$7=>NXJ%*!u3)gL`pRh1*fW z%A6I|^j>;e&;Iw*D-EWVI4c|ttlCjm#*|mz*VpoiW5uNl8UK9&tZFb1A2NdYkpg-* zKa*3D#($QezM2|R!g$lZ$C)O77=bU+G*4aS$aFT_gP$?TG9yD;J^0OhGk$UaUGm(@ zIn``VD>J91QD==t<1G4d14v7-3}MWb_>~2e4n?jx$R$Rj%INe8z^rQ2q+!Cy|TH(*exI^|iG~t7tGg>PWVL=ES5@$}95K6UtE3 ziJ}TgQ8<@NqW^Ajl%C`-txO1(9A=}$V=N@840c`Ya1m#N|D5JpCrNTH_at+v1t1Ni zgxAMc_BdtVn~*h5>~9lp9wu<~vj<&x9=kOZ-8UA9opcWWb%bkXDZilrE>H2K($-2u z5St4ct43qQK<07yX@oT5&EYhm=HV2a;IE8l!B8$QT#UkCHS;*}!wXfl6S~@`%`S)k zeu?19D;syAJh7>H9DUlaC{C?S@$Xk%tWkh+VjmqRer^K4d;y{oc`id-g)Rkr4M6r$ zfh+suK;5(#j>AX5Xl(w)M8#-)mvxX+zo@}XzYalSf`a_FO*oI$q29~Zop~IszAh3| zeNrnZMd3OKqH64nB$lP5B=(q%ij*a59!H`Loy^BZ2%V_55VO zzP~JA?wE9H=JGx`mwq}o6gx}m5#j13{ltmCdsLB!MxmB=m~rLzU_g#Sb8cyUfs+eqs54?k z(NC<9rle$}{e(|>tz$82#zx{N3MOA6-f;5_Y?+Sg>I!VBq=U*bO7*-{%5Zce)n6DA zNu_|&y7QQ6R%!3;+C9KqT)d(v7st>Q#NSLIUWhfNtm~=}OIv0XFo%AAhzL%ZsE|}5 z{0r~$GFRje$cG+H$(=rF7*q+UYgP5A`mz@JM03TD&lp!0YkAzyz>Y2&k61DyV+8+` zy(p+JF2;6JT3-Rlu1qzrNH)?{KMe@n?^*033!=zTTu#wip+(aEOJ&5SJxOxC!bivm zRRmm*;oM-GF=g^3@PwjbD&iW901hMtmFrB<@{^PvT%iOgHU}05>C|cX^`M22wvtu+ z?RO~+d>wFhRWX_}Qlh1!2!fgBtGX82pBwGFs-U%1S3p_!>sXQ)fEd7}{QQ~um=Myc zsie|xktbKtA^GnKab;T0KPi!z9icvA%b-mZ{ivyTdg|nCFm@TeyU_j~sQHnz7;mpD zqiBCIsj#9AGMUgHzH4`7yu81DJ=Wu7zcF z=JXLGMyKH;(>xa=g1TE!Tvq8SsT*d_bJRL2N`QuD&J8#k#0~CpH+G}q;i2j(t{s6Y zt{r7Abk~BT%yZloB{0-z1O;`@QkaXP($GTEh9Hy{);cOlm!6EDXfhYLOY6AIrUGRS zkJ*-8Fmw9s!b#KTnP<#2!}Q6w%_y7~I*b2?7KlQ-GEBsUT&T&#ZzZ+IGkhU-4|i3$ zXSlm|VJOYJqNt4+o&k}=Jtd^jiO>5x9dXji7dJw=25<%azJ4Uo5ge{xxw`tZI50Ux+Em zkeX_H(~k%h<0Rgtfr{lp7ELvzfU^#!7x?9>L+SDrkafugqFCn2y6>t>u#~2YwRnh_ zgBmTt?`tW8CZVbfoaE1}oXZPbnSWLen7?kn=)Eo{;YZ*Tv*)xFFJXr}m63WmI zCPad?H;GZHd#FSC&AMUSYeDELrQ@abRmEf-fKgPxU8gq6x`x+fPO+Kf`zVFEQ0cC1 zU{k7YhpVPURRf$h_+h%s{}g6%7uDf+$%yV$@ztAG%3Z#c_$G>eJ7`gHTF%AqrJ36Bw5GSv#lWb|#6^m4%>NUHm7awVJ;IEK?GMp{AM_LA<0|>3ntPQ({xuGVjNO)-3(rge zo0M-Wm}H-2yM7Y8!B$XU=W&)l$(C!MJ!4k>%)&`Ig=`iKNLX^_5lfOsWGsPd;J{9kS?5{TYPD2i}u zkXl&mhNW9fmacP&v$!6*J5vM(bsQobe4#8UGu#Cz9!N{!*&%_)eVyFzLc~#~m}(&{ zz`rsfAQsY&J503&_{)@F*QYGmCitnii;1>Y5B*RicS1RYbwTPs%OyjDT+oWJ<59&9!h%CGi8JUH zAPnhyidWZlxi!GJ9YOjwWT1ySOQkpgz$C-~)KNcgDrx~ICk;Hct6`A|NMyT`R#&5tEJD?>pe$AVrQ8Y|nn-=3jwakK_`88|bAK4o{hwc3Pk$Hr!QMDUiz$VkKr62W3RyVki8O zsFs8z{GJe!{wjZ=42pmc@n1cq!}#n}Ivvn4h<;=r`d9KyYFwFQK5JbY%e4-w$S+%1 ziY!~?s&e2&K~^!0I?e|dXuv8Sxm2jQwYBxtb*g5v%sI+20;igvpnSiPF|n`lZ46FQ zH{VUc-H`T|TR@j@faV-pB9J_FkP&2zpa|4KWjzlQq=f$qo9tp|MTIikax9ntkIJ5- znoH;e$j`If3g_!Yw(8$+r|<>tw*wS^t#JBX$+N?|bZA(B%CwWmMaWKbngd5H-03Zu zoQ5vF99&J{D}w6?vM&T@K?TD4q!rf1JHr&~oH(b>QI35Jo&;Jb$S>@ju2UbJmvDZA zgPJodu_?D}Nw_a&2k^3y2Y%(*F4ABAm3+TePhffz>g(>YCf|J9HMb^Lf!pd~E73m0 znTzyD2tfV=w!n004GPoybw=(c%QDkG7s{@|_PXR6RF+&~NiIn)M%}}B<+FtFs%xl* zIS$jRj%zArI=n`{E zvWNabgf3>%eg({(RA|e|BkhY@w1BxYrq8tHrWMSakwfU*8QHT7<|!ebAoI!f>(40> zlvjq+=GvwdrtzaPLeHLcSry!ez^2TYW1BuDH^9C^ZZmgFV7|F`;BqBMNm3mZc5Sto zPt1m^1UoIa=^&exnuwH6e#D4vt%Qpsl8%@x7jeg%4SFrtg zDVeWhVT;j0Ybk6f$Xu*VX!!JF!fA@H&sA)OU$jp05?boA3l;T1*eGI7l?_9h9fC3C zgp1vz%n1h+H3;<#PI>MJ5c)`9L6KaELCGAv9GM~Df8}&e9xF$vNJ zv>R^pHo(AEq}&k5H0hN_(%fnp4 zU5}r3rs2b>>ku<0&kKtcevwlqjV?@_-#0<-CoWkP{fhgUe<^>pdoj2ZEXfP80CtsV6fT94gP$>MgKX#oAqb@hmL-Gk`R?%o>kuK@1@NKeGrobay0fEVqrjp_Q z3jGs@A2p|+ug@oaORDmUitVX`dj*Ho{tW;VRwZgxj$3I*0Kt?s$U6o$AZ577wNO=# ze)F7opvbw$D`mG0jbuICmw_T_JjatcP&dJXKJ;6*Q zN4NsP^=*+VRkLJVGEtx0s%jP9T+mRO;a5$Z!~GblB)atiF<_HQzrX8PeON|NPKhC0 zwcr!@py5ZVr@hP!L96)mYM~%QMNLXdVt#){B~)XmVepU;i>ewECyx*Wl;D7NwwP|1 zfQh>L1;Gl!@!L4OUm`B=Snz70sKC`qnla9u$=eLZ2A4og&T@M^E;`;QoI-BTiVFCd z6&I1HhiloiN=o@*4fO+J3(LFC<@AKwlmnG4Sh@U9*xIuk4RkOqTpuvPu!s6v!m_R^ zu5GBMy?e$@v&_@sHKQ^Aw;H^%W^=hK8%JR@(X?=tD5-2v%m4hHzrO-v8 zNq70wZ1;ya)r=ThB)dbOt1g2x(lbAW8?g~3q?gS*PSUMu<}l zRm#8Lj|f>z;bD%R-w}NAM&ly{`@UX@uWd8-yR(a`366fU_&C9>>r4JfFum#1o&=xR z{TbcwWc`o7-$thns1H|{!emf%0`J-m|OoDCHb1ot+Vmk_+e zS9FQsw{JM{H7Ler-lB;l_;H24m|*evUOy5%*Te8zf(Oi1c%=$sx0zh{DkNiH*%rJ{ zu(oC)zBb9&yI<+X5xo9L5WY&q*rj`WyhpHnSLl-je{9}G-&k zdl_Hi|-KZ_2esfr3Pbv+^tO^XlM$y6MXLD@V^rrbUf@?g1@=b z&3`tx_w=%xDE?GG$3BAN(@LKwxW@JwzAnL-zUEU6!I#bNZ6Ihdz5hMI`|o|WhG62Z z=kYag#-99Y&qjhHkL+$IxaEzIB?L$LSTBMPHXDCWFm^-FqXb{-v1mELwDFbr+5=+` zSCn`OYHlh1hTz#7>2+F+6*(&KN(9C}8sWT`;0#vu1Hpf<*H;i+`lJT0OJHo@S;O4~ z=f2+S9KpYTyxmD~*6|nm5InK_)zt*=Y-+to@QZ$*7ZIG2_DK}MGj|?-fS_~wk)H_O zN7=rIVxP1Y^_N^a$ zK=7`$?`|ge`u>kH2y7ryi^!G&{Q{u9B^^}8k#oHpjUJc6GL?eP)8>G7d| zC3t?SZVbT%cLdo8zV`isF9^>5Vqr7E?;otXmSDrHuE_)+*x`7SVBE^mmkDlq&z($g z%(1e3g6kKDzeP}Yeb`F`TcfoD2wpQdcs9XTuY2)t1XIFZd5+*i^LGv<7_?}|Ed>AE z`Qd(o*S>RT7s1tk{CF6_e$V{tW`f)Impn#r@DIh`5`28?qI!a%Yb&(`x9N?K6P!4v z=ShP1E)1z97%`Ux6TExsp7jJH@7R5e;4?#?T}m(`{`qi%k3IO_Lj-%g`u^7hU-y(fK=qu4jrm2p;Y%cMzQPPDM1qCC5TH5&Z7G z9v>1cTp2Wj;Qk%DOoEQV3;#^;LiB<|1aG+BHHF}(i>oFOoVw`UX9#{a|AUVSmWF*{ zC3xz(kH!)#c;@A81poHOm;Oa?`8&^DPw;r>t`P(iet6{-f~)qwc#zDA@E;2N zhXVgo6zCLxvc6x7%Y6T@LDI+QFAyFQ2#Nnj1k5})J~k_Cyum3D*!bxe_s?cJ(0 zz>N>&YZLWFit-@>aw87mH6kGKyTyP>ucg0_M8}Lul*#sg?(F1t#0HTce8}Tsc#UJY{N{AvjTH|H19 zDCzcJNw4^obZ0=iT(1&6Nd^QAOfUJB^y*(pFaH0S?)sJTzn1R!mGZxq&YcUYIs)la zr%Iz>VEXJ|N$1W5Ri41|xvI3Q1-a8pl@M6oqe{D4kUOWYmJooG^~!Z{eNIl6Ipz8p zI9DGvJahOGeEs3t(Ic)+A8Ag(nT|M6#1~pCa52Dt-FejT5yMBA*(0b-*{}W!+sr_0 zjX0TdSKRKjE2rLe{uR{8=mAbB?X_-q9Xp0?jbA;r^K(@@uHe)=*)G(g#9gJ1Vy7Kf z3+;4ah+T&|D{*^~{fr#Ah=uQyV}mQB@4g=Qml5CWLmSNDN8%h27pm*%i+=2gAFE_# zWBmw`jm4v(YJB~n&MuC38F`Tqrtg_D`b8S0fA}^eo9-{ITn^!F-0nx>jIY$S(2gq! z&LwPsAFbqNoBW8bp(_)`?>u}A#065U?y&!Lo6%I)@Nqb6uTe9E)%sbw@VVHjH! zMgUUK!KuB(Z7)&2L|m^-jl_zp+f@8dyz~z};Q$1||BV{(Z95codWvgZ)phP#HWzq> zVl=s~Qnm#e2U;(Zv+rRc$kKJ8O)!Or(SZov*eAMyOybJ|9#((~#1(V86{KqGQ}jlu zS|P6dJ~Q?751a{)Lmk=-2mF)hDXPUibY+Nkep8oyL_OhXT!$&HZeVzAwLmD`D89AK z_Mt{4qjF9D0^+!SS7+z9bxOd|xE}MgKNBt(6gyczczi{pe$xI4gYn}8VL$daDsK?- zcUbzfiGVUUe}rbIM%cyWSN17XOn(tv0+o~bH26Tli|ggMsL!vTvt$1LimwZN=tt1q zL}hI3EkDwKeU=sZMyntYZicKhWKOK%)j3 z?5c}p?BL`=@LG(=X244yLgV!LvI#g3b#cICXDrTNR%@yqnDpa;rA6B&Ld zxBf-7dde4TDB&!Y^vxszCKs$wDWtq6Ojp5h8Uf)Q4L(?@Dw^v zAq++A{5FyuLqT>N*5J{y#xXeVMTq8&NJBSZ%9Z`_OYk4q9)AXm1gCg@`-;@^JTu`i zBG@`w>LqnTbB$5AK`8aQvp6dW4$|HN{LPehtx+cpji3cU1k<}G^MdB+iRkqH%%HhU zzdQoh04RJ@sGgeT;d$ZuUNSttr+y`9&ha;!^bg7KEq(OSGS97%`ft(s`>3AVqV*SG zC-Sg8M*p=8FNoEfk z@URdT;zfr0fY4@zJdWT!6da@@mDivNc?Os_2{S%~-XQh{1qbV11PIXv{S6r1a-K2h zU4#vv<6|=}I6342gyB&cn`2cON6Mx8X}v@0cYlu;+`KNs!c zI&hZENt@3>JeqMvowP55-T@+%$f4~olgAr%()`s9mB6MK&5ENoC-G(SL;pOp6W2(oe*0da-IuofSL8gcC8EkqIpL*8o6G9mhP0s@| z(_VaPOmE{;%X%5nT#zBz^fgcVo+n-8NxieMl!Jo%2bm&~hnWWAbGd0GKJPNkz~?ek z5kBuUHQ;lpX$?N_Fm1tSgXsl)E-}50&&8%M@OirlKOM^IP2s4p&NKv{e^}0X*&t|w zbhAy@BhF0o@ToCX;uGV*r_QtipFt+tMuSa%!>8UvPM8qWd3+j7Q7COR4aa9F>s3uv z8RJZND=ey-hM2}Q2cKG#1D`t9C*m%`t1cp-)Ks3QlQnqyM3X7{BTxMt z(R7M-@F=~umRL!1rUa7{PwYB@Qh)d@Rm-MR_%zS?0n!&z^aAxX{?ABn>4WI`bqK|u z!N&ngJvR>mG=4EYN+Dr2^t0G3J`vhcHKe-ctea9p!PIt2{fSbe@Ts7dUB z@LozLE_#y!%Rt=^5J)2XXA@LI{7P zq-ZJ=??oaNN`%;Dyc~X*O(}Oymg9}IQT{sf_geN0}Kij!VNw2b%;}63_Y3tpWt&I?iHk;2R(y_O$MgF1DSHDf`|nDS{aTq>+wEo z1s^*||0Kf1(1yM#`ln?$&Z5U}rYQLMVSWX~kT9GD(Iy`<1GTO~4y`qWR$>zcQ-W@z zwie-obn4(|bVd#tC=nhsQ~xQDw9^O8V)`!;rh-8?2I)^re7-^7A;Sem7CZ+9O<{fY z{Q=)VtzmIYKLg<}C>(EKAx99H07U4`|BJo1kFTn_^2X0O`|g{2bCa9*kT;SG1c-ou z7L{7GP>GNbLI?qaVnfIaNlhR^P;99nZ@vsxI{LKOv4ytI)V5S<$Bqc7bn1LYTRUZ1 z+d3U*hUsHlI^*+{A}Vcd`}?lF*E;9so{|Yp=cb+H0@9_S$Rjb8=1= z;bsz8rY0lND20+E&Tpw}_(x&hr%=#}Mk&lY$^$}E&@)cnU8#(dSF3??m2vXQIC*89 zyfRK+87HrdlUK&cE92yqb@CD6OTnT$_?KwWo1O<7(lg-2o;TT2%C1B9J3;9IWXYL% zGYs)RAWI&|W}^{oQh!9*S;O!hSMz8j5vr;#=C+0u)W)}4Ac9!14$E6qBRCb|hl zMuJX~Aj|nB@$4|d9Y>Mr)moD+MnGW%>^9jqk;OPUUf2E5c>EN}`zzG=4Kdh2B(XRZ zZzM2&h>EX+&!Cy^?Rx=LXl*444hr^|!Nyw%`4K^W&5|Z;*q&1fKDivBCwsu-ED(s$ zlN6zU5H%5@C&^+AygDaI5xRk|PbMisH}DPlNs7=7yrwWo5xRkIEKX8{zJU0Aurx^# zx`8{!B`HET@Y=Fu3iJlASLGRBq#YXfot6)Md$`U*-L&IFbV8VQ%Q=@4Ln^Ze;*zynRgw)-2~PqY=oZI56rg-b5$Oh!Se)8N!SQIX9qBe?LfucoPB`v58%QP zdI6<56ochIiVH{R1(fDcGdWM;l56~7G%HC#=z5HGH0~tHAKpxGB99%u+Xs+5qpC|-FQ3b7g$CamOOh)zFkE4-afPw+B)P)>1>#tRlO$J&ub((K$0uWx*?Bn zo&}F#b_aaTUYl#%$io*A>oE@&Z6baD(0Hcn$ z9j;nSdG%pjUG+&^mHHa4T73uCsQOP_vs4_!F*Oa>xLSg1w(7$*q4waKqYmLZTRnm6 zC5Q^_tc)H8SF$GWs^}9)o+ogMw$>D-++M<5X?Byl39Qw;Q~Vhisb)7uQ$#g?0@v8n znEI;uFu*uXD7ETlT;nvK)O7~jj#XZ@e+qo!cM`b9fcFu&f;J{`@>1#sgROvbRVy#T zV8yEmT>b@UI!=y5wLC@OB*L~Pq4anifo(Ks@rwyuZ8%&`;PnJ%$FC;%DT1MpzkozJ zU%-WCg!_!jr8MzXT#~(zrO^EsH;*Rs)A;B9o9pD!Y&Mx!TsQAG==f);C z|LI2Zl9KtQtMjTQ^O_sYn*!d3>um}Ktvblx{5^9e7S_ZiX8gI-qN_oU>Y7t13@ zOk?PN9-@8{nNMTr+%I@#d7nmx#>4%RmvRy_K~qG#$0XgW&v&)iUZ4lPRWP!l>tN3cfs%g~`$CDZDuyx&V^6?&HgPKInXdPko) zHkljIyIGRyMDIQznQruMDKc5qp}zFq4W##Oqlez*fTpMbm*kgFBjpyQ$%~m13_u0s zT_r7~Ag{04iy-fMl$fEJ0eNo`vRM#uhh*LZ5$~4F~-c$(O-~M2k#QCB)Le({;&D z1jf3SD8gJVHX(psRYEhF=CQucDWMR6YUuXt67rM=UY%1yA%KCePnJ*!VBj0_ODF^| z@S4IBnKSyv;t~o0XxQ`zOH0V|4BRoUgiOi6Ys*R~1Tb)Cd5KI*y{@8!Jk}WqsJl`n z?GyN?duEqV2taN~_s%V$5P+PIUO(SS(6lREL_X#cW7Dzg4BUXp9qaVb zu@4b=DYYIOHsFHJ<7>xerLQI!tqaMMU32-YgLpx#Vld z(~Tn9oU2RS>c>#_KasCd_nq>7%>Y(D%$7G$M23)>iSVL=0DNxBnM}ZB*v)JLrWNCl zMhG|sfV}wxx(hZ0wWymXPRK(~CdKKnBXx{w0H`{GveY@`<{`)QXNi8t2>P|)XXsPl zmpXtP)6@O078!kR8Vpp{TCAIF|5QKi^r)jT_-&*{02WgX`u5c^+ z@pw@IDeX#^3~91i(B5lcXUhDmr~>W`;Ga84_wTCrf!>4xQ~#PcEOOm4noIzwx>J6b zs~j*@{+){2T+4NpA*mxarE~N=F{wT#L4rI-` zC;D#y-vsmM(&&Exq=+Y4rftNNpjFlRGzcTkKR}xj_LKs%9OFn~&%!EY<_UNs76 z+w5HwS1&zc^`#a95t)yYGPg=!g!FD8-0_%dkjKE)5j_j|{e-WH>A3=@ax~3L;^^F>f{3^=8D?vy9B@5#+^LHw!p3>ka{Dd4CR&B=M%l)r-RF zpdjmTddZrlcTaU0mhYLe)q`nVfEf=W*OJGiFeKgabXZg-;WG?e#AL-Tnz z{vH5MdHz+{|X?IvIYfcpRxeH_5206001&&L%% z%Yg3i7Cw$bI^8b(5dhkf7N&5f`db3}0Yu@SiuM600N@lKA?5EgW>;c1?fb?9UqWux z+ecowguJbHFWp`!F((K24g*_2hn(JhRL@ZpQ6pkj!K_uf2bed3$iiPLnT;^0v)Z$D z3=BR<@ai0GHo^p7pVVd}Oz;i)+H8agUQ?)P6*TlW7He7s7Zd)2rJ7bj19yzmvA}}K?8T!Y4YPAA)Y<6HLZdlC%AX6nQf$kto8Gq z$XRqIt|#gZNQt4IuE~ADDZTxe0n^Q=-R^WO-@_Fym-jN2`E(MwOZL6NIIBhXNb*EmcNoIn}Nx zTY!rAy@Z~-gY(GT9;SiHdkmv)#`t0CVBQ5}e{D+181oiXVC#yB;r(SlSXFxW)tR%H zFa8wcpMMVupCQ_>5U2d{l{nIa^1Uya>^G4$=P!pZ5b@8EHK#LQrqY7%Q@t;2geRzK zfzjqa3tm0>z#Sp4mj4@MpC^*9616j)jCcp=ycY|v10yo!YHPLkB5SO+>Im_f4c}{y za9+KHJdRUw_8LlXO9}G3gRR8#0`bgi1EuNs1=I0QBWtYs0$Fw5No45|fQjEg))@M) zsc!xw*{8j|}gvH624EC-dDgUbNW)GOKqz{)-w%S+0u zX4!LGD}3=YG!eHDC)ZBIEx|J!it=dP;Coh8Eqy9#TNHOGOi> zpgp63YM0nf+=#q6l;iSmBX5ogIbU33Z>@MWF8Q$3jmZCu_)RqYCfS3-2_}ZKOF=;`QSFwoWVO)rwIEXu;zqusUe+A?r=W}yjHhx z`ULihucIw;hDARCwef-I_XCR@^TWOh{oX=y-eP!697a9U?^|rYpF%!v%gN{`Mkm5< zD1WPK^2?Anrg5w3%ESZ68$E3`{8Cp(8Gwc4$w3zxX^2Yq{w&mxLe~l!%$CSgz=QP^h?L*=Y(&n#lE?PQ6z#Rb2 z6Ywnn^t5-OGZ|F?6kQIWjDP_EwFKM;U^W4N4`3buXUYp?b>zcu$H;}cI4@noA{4bHelJdW|`NBH9*Z@lQ zerNNSA#aT64|WJ|Lf#mW$JJOihY_b&6MQ|*_VPzk>X{&@{v;b-gMseDF5L|Nlq z^ygl{=?LK_TTTdo5Tkm|jGxo+{m=;IPBo+QLo+HhqM+`G|i ztx>~2S=gxH#}+nI{$<0o*374WHl~HcG>m7&9LdJAG#$y3d%-O#O-HgcI=Drp$vgPRn*O@Ll{5UngKkl&-$9CUiW3zr|D}cCPe-!R`K8o-I+BgnO*pv} zFn(`=&K*2NgWPTgf8viYBu1s}o^iXWi`dY)ydQa^+IEi&ed5=Ur#sr-YR|acpH5?s za)aSF-8_8PY+GwX;(gdF?3&KLU_Joj3)Z8S@dZBsJL3zgfib?|9stG{d;f6 z_yT7pMI^I+g)VAmwmoBuI9cG3wM^47GZkmGIGT=`??ww*%OjeOnGL=*rsOZzv@kZMx!RQM>7yNu4*6M4XwVcmpRa zdy{EJQe1&7Bj=2!}}yR-TevJ239xcX5sEg%bXm zfbRnM836q&T%u>W9#}d0cetR_#VsavFtXSi>_w4#ImN26${BbagrAMO%gM{TSF9y@ zvgf7O8oZy#J`lTFYJ7kgByJ<@LIRzfPJ(N)0lT?|X-xs(+#Z9jBUO{|LJ7vp(B(#3UDRQ!HqpQq9r zOzGbvOWwk5H`$#t9p@jZ)Dj;>*6491Nn1Q`7VCHoHCo((?8`*l)=Qkfj4Zhhca>qa zYBt_iL8UhP&&X2un7HH;yOO&%8g>4X2(KqLCErCCXJ;e(XyjucB6EN$sVHwdAQ%`O zBt@JXVRvF}zee>92v5n{&aR*e_(4bBA5d@^V0QvP?H5U4=hRA=$!=V=96~?v(;vho zx)GOr>{O7%`fyRUy||r>*=FPIUF|09#yep*-q~j3?S0%$n2mSBY`hb8W5SJer#uTGrCY|2WQ zeRaa@s}pu#ohu5kQ{)=-*S(l@kaSy?qPyfhtZAz+y{&#z7M;UweJE&az_eA+$89}~hTTDW zT)1!Y%5&sqRM#Li| zhLby+Yb~Z)gSS$x=crcRR9wwiJZI`PB5UeBPxVT?k8it-XkWMknku~?h4U!;ZK~=N zY+Xr-h_VwE#Oh3yk?5P>ndvK#N&fhw<}e1Iq(MVuB)LFk1oRZ%&wsm z4^kM;{cFh2Q@`olzxpg-Je*sz0Vy$8lbo8530&*ed`95OUd@*QlfZa5x8_BGr|X)Z z2#gbt)a4-93q%CpE&#ZjKzTSfwE~!L6XvQEjmz@{PRSzIm(_=&s$pENg?QdGYCNS` zw*ygaf)c%~hJq5}sau>H3QC?JczL9Tf)ayojnz<4VqgR%@`M!SjjxS5Wv5r7G5qKu zJa_O>GKdzJ2D!W)CTEPI#U<@bcouoHP_>%!iL_&KSGXk6B$@;lm{SUs-IZ?PXF*T1 z!kI*qylNF7{LDJs+*?|iu-{uQ@wVGN#}xm!qH05Cs&T=LP2Ida#FT{0&qK*GQK`!^^xH|m^nGy`*`(W`JAvfV!Wm@6F zxa0-{QX@|GG!)X7N6*i`3?OZJbY0Abg9UR|g9N_=_~#B{-{2Jf9hm13@LK@m2v~R} zb~Xg82XHZfQfDr%<7m4yL~+(%6@D5eF_OqB>!h|1&?6TR35~f2zJ`MG0YZO@&`vg~ z*hM54y}Eda(5t^*l||Uj+1m3DXOZZK{Ieks*-k`1}>|u zq#Y+MiIMWUO4@N6xMEr*?KllwIir#szk#vi%zpsHIX|UtJ`9MQqrNKpF@WS8^_18# zBw3#K0&AY`*tvtBrT#t^ISDlyEj&hAh|GE)c7~?p2I|UZkbT``Z$Vb)&cn>Z z)%ZKV##yTIt(qM>BBnwD6sCexeTZt@iu^tj>{q0<@lG+-e$6yJmB4ckVh)yHLg0BC zycAi^TGVtMxA0$4*_uv@{(R^Zp|5fa-K(K7 z_EBp25Vh=<7=0X~mP?F423*5Qjr`gmKVjZ{)<(NaLQVK0@g+q%`4wH^CN9$=thv! z44o3on4U+d&R^BYU+ezCB~91hX%F-g8a;_gNTSqJQ(N4}Aj;Gnx{L1KVq~50=U{B) zx-F0+MSHNFuATk_|5f30ATyjRn6nZ1EEVo`3*WbZJ<9rP*rWU&^v0u10477^*-684 zAxf-SRG-A0Cn=3B{h5){@TZNB`zg0@H}kJED#!`=T|{=4kJ|2EIi|)C!Jl&rzatXe zgH}wfNKgxknUv}=3UaEzz#V*=Ea3}o?N>l;rso%oB}^M&s@Wi(@CFKv+xiL{X8vcO z&Kz>WQM#Cw3{PVFFc!^Ep<=|D4u#9wy$K@`n`+nYdA(MM$B}sH8F%AHXu!&Lpg@%` zbR3FEIXe$o3Q9TKfh@Tvn~m&5mO@;&g6cZ@&v5xR{&`pFPaq)|<;jgJ$1QLtCyTU7 z=8vmP7Py6&72X_2B^!#~Uw&oceF;3VgU2g?xH^%*tc~P34PdQ{T$M~DhyXp$HT0C3 zi)>aYNL136#rzB`7Y;`y=as{2PBB~(wjpUI$90K!?gSKG0Y*t`Hj%g*U|uAxz5F`h zt6+BpJ{HUiG3-v!OwbX(spaJ6iZv)HOMFYHHOZ6)gbhVRIu7TEX*J7cLNSHW`DKD6 zeZaqI#~T-LshHzbhR`*{k9=l|hzOYA z7egpmZB;H|ClQteLN(xP)3V?)dA#G7B0B}IcQgYzb*3}26WFL1xiV~{4!y5Yw4y}M zk2%#>jnqvnUHfsXnQV~c1?o!#W|m{kEH~ZEk|WJ5&$ldyn(t@O{{k_y z!l1K7*5cm2&!`*pnaoKC5=H$M&|FqvJ!CtvbTf;mcQiYJX_>H{$?-X$om`PI>30#> zJim*YW>UVL5Ct+J3WEv3{VDcqgS^DAZRX)9CT=H(o?32gzKrEIc3D1RkU>NCUf~b1 zp$QD!WW~UJlSLP*#iDCq4rOm|+Ihyb#l~yNUabShyok$-z;S1(dscNRuspe3@8Zxt$gjnX*}L zN5jnykUUptxWN{~U1f%`#y5h*-_XOV#-SNm%rH(E(Sor+>OUMD_uo)W@_mNM!Xg3= zll=zJ0a%4Cv3`DPx*^y3QX3{0iG58YFDZ_9`bfi>5%3dLUK}`&nRXt|l6g2gn1`1b z93&dm^vD{N^qdSBe->xmyq*JLuyl&6hDRCcs_h8Cv!vTc9NDx1$uy@2!gf2**9fc!j2h1;h%_|;hvL*f~!3IXvN&1XR4=jAV zrjSEhl}AP8Syr%^wbyts0KxRbAS7f`=A=)`+)OoD-QwxRbY+I8VxXo1;Xq?HVj`NU zZFE3I2r<%GmX6d7qIl~HMg|xDWC(%_W4M@B1*u+T~b-$W0&bq*y^7%|9_|5i>M|ChQvFOT(U2mZov(=8a*LKFj1PXDyn5vm$*c zDn|}QRp4C^AEr;JY8x9NGPI604`ivYxUpfK9ebb7TGFKR)!#a?!#Wu~rVFBK6Tocs-0eGc zfja6o8n{vCHRx#U_qbg}wyqmJIGr{Vz~H@Z_tqf7KG1E16RQT2p-%XRUTwM!BRgF3hUKMxf*b-f}OIJi2 zb!Bvyu2x&T*{&`J`M5^ZQp4xelMpg{sV-8_Le5G>c=fs4(ZCX&-K6vCsjtON1cO21 zP8~U~Cz6aybRM{tHG;W%+}){5cj6j0)C~CM(x!J=reW| zvu)m~6W*M0#BH{kP25^`LdmnvaXG2V=T;I`vyW*{{UiQ>q;J%6s2HilSp*8F1SNS&~lTmVv-3Vl0rigYu3>>AZ{^wz_IZ*j=U5(7lMjdO^NyB-UE;DJPp3F5Xcj_xpp%DYVRC^6NzKgCG5tVu! zZvZM(_iZQsjo`IhtEIa7xUNHP4m!}NH5$AW<{WK226>uw*$G$*N+4cjmo7T4%a`aP zvdkYiJAJz>fi0N^AaPAp9oc?NCs1itqfRtpU>mfe)}O+~i|&ki^NV$4k1jf)V;~xD zI-{e=oq!FJ1bcMNaeWa$)Q(2a>qzW2U4C3o0tWq#L{IARuj#3jL%Wd%t@-&`1#oRevL(JfKJ-wY724SOt3g4l^PbV+s?82CA^fn^TMmNlf^HT}F!-N)=VN zp3`N!^hD~u5q9X9F4<+8#&r86hDoWt?m0c~q>h}>>JDA@ln|F>1R0JOdmBHdGRpOlCUqtr2OP9Wh+)jwHTYIth!}c!1lqSCLKoBVsJr37* z^Eo|{JY6#O6>2_;`Fe#>@J_ve(nd|4M1t2h8Tm}9Ur5u=i!RbNDzQ^vOc`>y28j_v z6@4BJckVRimKA+XS2kmo&xcuEjs8!986SrQz!IYu&;rey*flx_Ko+DcT4uzJz$g=H zJ}C@7Afhfiwp+*48n|RN* zswXffJ@p{2N}Y4hsZU{8B5M3OWWRj-ITb&)WbXyIpmT4khfT?^$wO-O>Fq@JrgKj1 z09vV!ee_)Hr}aR67t>QIIJ}6u;+Xn63iH(-B8GmKsJxx$^_6NTaY7yr@Ehv3ol8{C z&e!0hSMSt$yR~l6kwsSVi*?B|OiL0!a;>`g7}|xCan!xrV7b}Hz1ndq5gS(7v0*QU z_`%-Cqrc}0MHKHkQh3+V!T&)-5yTDw3H@fgO-Bsc7sL?ZK~L-o2*X*b$8UO~eom=A3rk#7KFy6?%N^F?cAl z!3OO$>q)=V-cp)e7+RV!s%9sGfFAIyY{6jT0a=ta6Ety|&D? z4l|?LZ=!6ASLb4?B*Ef}dyL#zwr4;-*f%kYs#QC6g_?UK1WSy;2obb)+5WRJa} z!Aa4AC*JVdky$YP&9`k% zGfx;~dWh@?y(V}LjNUhG0AT~>;Fm()h=K*n-;S!!dalmRM#yDG^SI91+oYbjy;1$W z+h_o|;lFb+xL6Nr7V9ab{@pe*!qUH6&oINX(_#oQnGovH+t2A*^-YYFQU&KU-miuT zQ$3;Mdvw+wJ=auf)HRJ3gli@YtslGaM@6*csCqKo_w6)mBLdeYJ5Ah_6}=Nirla@h zglT6;tdQzHqfJz%=3-WblDP`Sd(^>U$nxTC{U>r?oJl5EOyuALDg#7sleqlxXsYf)KCq8cT~F)ThQ&EO-^b}o8I_F`k&}+!rSsmaNC8^J8ZOjT*sfnh7BU`LL_z%$P@h8}+N6tH zpiBfgm586gY&?oekX9m1AuSga%owOrpiq04E^MHU7WPg6N)dMxDPoav;9eMgLzf~f z-nGX>e1+Hr=A)RRi`wOA>@!ejUeid6Y0%^L>Z?fHyL92T7HWnnAsAx~oNCh7nm!#v z@cXpJR%P0DUAPCE)lF<_4Z6TC8Eym5A{e2Vl`%97EU|!1MAa`T8o5>*y!r;_It>b~ zTE>YWzP- z*L6YmQ`p}w(eWm1k7xw1MHqUejxW^{Om@lQrDpHrMeDWu8|^#|<_J^cYV$emA64_L6Ry=0ND!yc<%Csp1#?e6x9u|oV9_lP}*o|Ou%7XMF$KUf#=HfFpt7$+ML z)?{t;lM(2cV-ZjUOGo+&<1ZtY#~%|F$sZG|OI)3uU1Y3xVxzvSQRf<8e<|#vQ9IGS zI*QnZHgUNKe990ol*0UTXrD&g{z8~XOy%!fwrrWY8Br5lc=I#M5QBUO=0hg9L+3u@ zSDS`v2t1;(1@xcJL9EY*2blY+|D4Xj!9|14x$x$j+EuwQ_t@EE{FfY!xT^EL9kL1x|!kuI%#&gf`+R1HCZ|TJ-pxx~zd> zs*3o<-Pz$9FD)VJ}*OYaJpM@_MvoQUBza;Du%smN9rs zg0Opk5@wAUC>HyOr9;p*4QONx1?0){>fpCXW7w@x0=crWM7wvQ>U!b|CZw>7N&OTy z>7x*BtJY7#C-pK&t3LG*r*1!l%cI>Oj<~*rPyu!V$EDPZK-+*` zSGVfYdhPw@yoNbfn{yvDLGi1L_SF42Lqy+xg#M^i=d`{+XB>|DTjw0Q7yC~L2j$ME zWzvihRwwUHEWERU%~Gvw^HCfHR7CI6)3@tfEL%j0UZ|Nkpi$Su+#2-sr*SxV8^v0f zZ4hZ3Str^X4v1;915on5SF zfX`x_*eKZSl-CWXtY~bDj-Y*mhPZNrtSldj_VK&z6L}Mw#d{n!EyEF?K_v~OVz&%3g@S4 z-!?kQHB8|4Q0;Y)=Bhsf4V^l{12S#`f@mPfZJ1^C4bo>+{RQO8R%fsg54fQ7`NGjU zQe43aM?SkEB-HOdLLDU-FGNO9txN`k;N%S*LqxL&W&7b&V(Ki^l0d+TV?zwmcrp?7 zucT08eT{k=QXEfizYq(jf#+4^8H#a~Iyg@IMRF zhC8h*)wAJ*(M<>p%X*47nlU^N#p3U5o_;VFgSI{L-c$od&JK-@5z29j?+O|;CXYGE{aatL zrI4^dZ6(vhkYWd4f-`n=!by5QhOluDISgm19!H4mr?j_>fRAby&%U12#n~#CRByHl zP-+bGIf^4Tc#9mYJkYcmubt-FL{}3&V~!aNtUTWUSbk|Q9&5FEH9*@fi^x?s!SaY| ziOzjeAZr<@pM(#N?bS(~BixCQ+Hk`T&7|n@UMxo2bPi}1>Bv(s5pu2O&@%sNSoOx8 zC{g2Z+_Z#SZp46?%5aeIv{#fmlHO0Xvo)+`hr7A)T)0M3*diP3y0dBgbh9*5@DXfT= z8$?*IXzWqT;S6%IG{1Kb?G*uF(pN4s2duQ0qJvhNOSor`P!2(ZqsmMhZ|EExO1(lG zgE)fyJ5hk(&Qjz6ud_?kR5&F^U4%s&(Wr~Ht3hMcqzRUd#W_cP0wazWFghTgp^n1B z(nQPMtt;;!_f&ZjG$&ziG>yEcy}5YMQ*DmTi!FG=c#!+!WCAmw&(QwhU7En3bQ_h< zi2m#LANahPu% z1h~7W7luVo`oML{AK73x>B1LcM51r%33uzfYjtul_PaQ0pRkB>h077iV|BO)_tvnW z?a`?QU4&5g8#=$9!utr$|6xVR=-u!Ppo_yrEk#rckiucyMZnVdfA{Jkbc@igymUF; zZAqvPV?n)(3>(p~ONIqUjty=y`!(vLhkILywy~ed&sJaG4*M)ur?5un<6a!y8Qe)H zu-2R7&H&D1)mMS1kYNs;QmF4E(!mWWY81GVIknO-$tWJNQU`?^;~@i*}|iA zMmPsDn0r+i1NA6;Gs+7U?i}K-a!KqX*1^{6g1vg?6S@J!a~pLECuy{t;rtdWBydx3 zPrVVxDcBj15cPDwBI|^XAiBn!rmz^AOd$}Thlfi+(4ecIfNp+_8Eh)3FJijps~;gx z#{|%o_3H*d19%%=L04(`EZhtu;UO@m#2SWp4^|26EU=MSWbO;nQ4h`_@)m2nE})2F z=sJ=aEmCbn%dhEiC(L0G<)$FYL~#jX(`iWSpeS0XX!iq)Ha#DigSScI-j=!rW2Ij^ zejYco)E93*1`GHZdKFclx}Ek39$rg=hYb)#s)OiG!D5Q{&9(r>Q4-yCTu)qL1_Oa& zH6q$Z1Pdf4-A_YBbH@#nf^sAr=qDp!0Rgr-KcIG~4X`o?zBmme!YH_tx<&`sWCbg{-wg?t>rA{W8&OBwDr&@j0w567{wx#mt;1h@6_pQ0O4#n@XcLWr=7 zg3yS{r_k)*oO9>()d<$@ZLHq_^(0_CRDc*CnZ>%Hrxh-YLjVa zfXM|gf2T&!JL4Dumz%rkH09nMoEuf2!AZv)^^=dnBmhJFoL#yGdtq=c!K|OWUB4IL zd@_Z*^?aI=lhwa`6#7<=c$n^!)k~;qCvu7Up1TugR;4)fQa@yLBl!R;Ej?{<6-51` zgltUf)E^7Ky%MZ%8c74$(sh`T#ght`;wb}Yj2;r03zNDO=bF3B48dbD--TMz-CxX* zPFAO&3Nup1Hs8(<(nB=r6@=IYcme=EPu+r{Y`}8_2t-?G^zVl~6sn(-*RbfQI$%)0 z2v7yhQ2#S%vrs+l!GSc8;S^xgp+0^)jRxBeIpG;7HjfCPqc~XlKD^@`7#53bRf7t~ zGl3AQ8H);LkwyhYfunDPZ&T!x0Z6Zvkxef`fcy8xXrF|>3k*EYbaAnnAa|pah=HQ& zx6tENn3!g}PYcF(ZpRjS*#3vpJ@tEfOsW72Sr<+T=rnf$vW>5o37HivN?y9A8|c z4`5~7LPoel(Tn_q8R+u*%k1l@6p(JK9QS_JRfa<^6I)Q}kX z;XMfECr`1_S7zw9HfMbPXS`2G?vFkY`ybh#$oXXQK>mY;pDO-~(ueS9FrG)H3x4Te z*`R!r)WdUx^n1H@@fe@~Q3(Fq=XY$Ec(tpw${@$#L@Ssb7sVkvGPr@ht4X#w)md*$IA4`71p9KGf_;XGA$+(c7 z2%r8MPAY$=aV2@FUdw!<#u0{1oW(4Uls`V-7G#!7yXo&%B+4<{HP+-fGeAS_roWny z>a}O+;gf8i(oXuD{D^){CVht8O1%db5jAWHdk*@IDIeRr$d~?(IjWaAPMPwhzuWDP zX(#66+W%^wkdeq~p`y+(LMfGyU}%g!koSJrm#2^hNbgVn-~y zVS42R{rvjuhlDQubq7Qz{kfmp5xVpj7KHf0ADQ`pcGLg7p6EjJ0d`9GIrv9%rvK?T z;or$lM4t3N6sCH<+-Y_^ntn)cr}4m!thbL-W&B3{P%=MM{&wdseH#aRX06-OKhQm^ zySEqrwp>h)kk8t@smuQiF_88v%Dr1QbeXRXuWRbruztAD*|K4C|N0F*-KnlVe2}xF zgFeSPw`15@x6uD!ZyO%9an=nk7{-@L*KHZ@+3ei9uxGfltFH}DoiE!m9Pq%mLN^UF zlS?iKms{I5b#B<)+p}p!|A)b&t9#+KD_8Yw+T6c!gWRp{up;@b;ai`ZH*6Vn@F`)AI+vEVdbZrj$Mn&AGI1IFBec`n8x5Uv##p{$Bb{ zwfz8dI)=VnJv!F(&64uxoYOO=HS>Y%zRt}ZotxGhMbRg(Ny(d`Bs$A-mM&XZ-_g9R zx!yvn>RVSdENixzriK-57Ft-}w&0q@7GBxXv~0mb#xJ|BImorvuc&Y9SkbohJ(oJo z%Q{x9y!P6LcBi?%e&GtqEM2hr1ND;Oug7lcxtYjYTI&OH&RBBJ*bm5d5P4I>2Uf25 z0Qg*UP5p`$lC5uTUDn#suq-3rylO#H!@`c11+5E~jx1U*N_9xGuzpp;HT5G&o0qk9 zT)S*#^TLs6h_QMkrmbOV{j!y9BazFX*K3=WT{jZj($JF8N<(uSIgH?Gs+$6%i4oPAILu|~g64*6>sLVf5FaTT$z8A=*DYvh>u7~lj%dhQWJkCm zV-V&@*L+6Vsc&7dq8^5@2-dz}#flD-cUtQgEbLg>+|s(Nt^S%e`#g#NrEB|TX`WyB z2D4*6fz2PB_CJr_wQ&HGD*PFG90PZ5!34G+rQg&;Zo9i@6MyDhJ`6wFH|~kj>7&om zW9oL%E%B(a=LH5ru7mmw_><+cnh5Ott}e|258yXh-JfI@yY2G z_KVlVz`)K zexCjm3VG0PpbC_wubMl(-B>Gzp{-%3+gy6^ZFX*N)0PdUn)T?8WX0W+Wc=tgz>II+ zr(x`e0xRl{6<885h2wZKdy4 zrR18X`UR~W*Vdz~)%ROcNS^;~iWV$fh}9v)k{VlBzv7zKhL*Nvt(l?_&#_CX?lsGr zuWeYgvUNclEm|2pq3Ul-Kpc$6y>>z1?$bLob|D%JsT~U`xUtNem+o$j|z0+qa3k+XPq!z(2Z#i z#ojt1faI3ox1DvZJ-wjlgI~IBLsy^B!+&k+ghR!(W5CADp*a?4k&F68Pw5)ouw}3V zQ69XI>2W5h42`vo^om>HP%vP9&JYa@c=wu%87>nxV%W%23~t2Vy7pq_Nv}*p+%eD( z)1wP@0la`RQ6c)NDkFh@)tCl>)p5sn+OXJ^mN`L@Rkh_d>C?a*&t?LN6)6t%|al9 z-U;aqzPp3kmWeNZq-}Kz0^YU-%?lT_`a#`@!fToki;P-rRekfquv;`7Db{XXg)osv zg#CyeKHm{u)6mjTk40f*MB7>~_)#336rpLepag=widie~H( z+8AtPSVZeQoSz@lSxVbRhgnsF)<+1+Bt5p-fGC|o8rF6gF6P#ao4Uzf$=4#L+B`gj z@WxoCzkDNFGm#`FrP;^Xb}=Cb`-c(dz|4Yv_inKPmjeUG%;U_uYl$=KVg(Gh+gaCU z2rzvH$r8J7ZC=MJ+w5>B`g%Gk0JS~9Kwt`Wb|W6-8M2}0)(+_|ZG!!baUVhjf7l~c ztPkPPw`XwUrrTsbl0!0H0x>fJDQ9z6CnkQkeTKruc7q6x`-cYlArXQyEH|5xf>$T4 zJdhF6;o3n#7|n2kZD?kc25$mH=Q=uEAc(w@EyiI%FCs1SUueM;+ThuOX|~xB40s1T zTqmM48CG?diISNjbyB8+&_c zE3*lv%R%yBKUM|A_m(jYGfCm+IyWP(wYwK)F)-9+*nFdgQ?rr>TE8I-*> zW{=`$;8NghhWVwJrt-cImzl|2Z*2bf_;XpsS@jyS%xsPuz*gRcD z9{L6Z{ghMS9F&aQEd$a$Xv>4E)I7r|Q&TeUs^)Wk&3xyeWbl?{^HWZ~J}8-o17zf& zWM1a8U{FR6N`~H@OFtmhLCFNtbd^oC{np~d|SUhq*}_$+|=Nsmy`B7*CF z1|Q+njEiKXo^tLzv^}U}p~H-bu(n~YG5}ix)NTg%2dF~~z7U{ZVi122Y<}R?&R}doY>FXc#bDaSZl0L1%C;|I?)OrC2eAK`;29Nlt^_D|$eU>FSakH}50(4`+x76`6eS-8WTYNr6N zK0YYfBfjh{0tVBwV0*|>iHfB@+<8hWp742v>`sbi`wH%nZfp%ufz%c{!we%#!m>*? z->(^(9_KS^l9(|j52}U4lp;x8=R=t?jbczT3P*f-Le|s5Mbc>)tJI44V>gi5)^4u# z0H@Ro`t%9?9^n+`V-dks$b}SsNx-)q+gF(7`LyOPFzonJhME)dfFv{=QiL~gn%^jT zUBg8KoT9ID`*_V4<1MV3Ut(f0@BEJ}M;VNfsusj8PV4`o<9bUJN9UvBddll3ODG z+Q&r$oRazag$$fveV^oXG@7?q6ZDlh`i(}D&rwh@r=!tGge2h+mNkixBs>CY8;_|3 zK0rzABY_W4R+8ZQ3Y+MwoMP03!2@F($y-bv2?q8drlv2q(T^-5<0sTPTx+zx=5tw@ z&$;~wbEH?0({B{dVGHbcjx0$_9pesg>f(fJj9;x!vRuHRR^J$v{HNnwrz(ObJFYjyEt$= z;0JK)g<4W+H7#5fu+9$_x?g4Re1H-Lk_w~Kep|~03>fu<8TAMQNrlnNKBHCvQ-1SZ z!iYZROutd)!g*#8H2+P3EP803rW!$ zpQL3igNFjtQ3g*1s2q+f$~eXBY++CWEuh|Flmse3Nkmc1>nTtv25SRUH-oZVW81X- zpky8n=w$JKJV0f3v5ke6e!)rPA7-3%jBpECR_O-e&N5E=LAXr*k1#Lc37Sk2=Q-x{ z0;i+Vyvk+L=4dp+Sf(+QCn!l%3KnI8~Wo zcd^A_=ngS>IzTtP1pW(vy@$XssLjD=(t`|4ZGg8IoCE*c8z#ScnGPEK4W zRPPYe+i%g3o_b`vA1JunZ-#+qxt4f+Bc){Im|~RHidkdg+)*!nP%`s;b%vze&qd;u zVP^-nOXjR!ujM?0jz0|TVmY$&H&rDgV?<`fV>R0-g-01Qb((T(5BHg@8)H9Dj_vxNCkgz|bL1!RS1L z0q?#)jrTTYw2xDG-PnGHAL0}XOgjtQ$0?BwFuc|8OuNVsbS4y}NYNa>zae80l3Jf+ zxq!h8x?aG*NAb7wSZXA~DCIM16>zSPY8NnI#Ge@qGYUxP?|Vl47Ba)3fbocOWTfbT zPZH|7klf{yEEn*QkGfvKhkaD2>%wTaPtq#jLq4iqz<^Px>%u4?33dGuX82;jIJ4_g z6u7Mx0q6R@r@M()xBdPq*F6@axBRx23m8P4Jz+*YV=K*&Ufnfj1lYk4MPImVO-6|L)ol1URO9PYft zOam2kuVqn0v{77l4i8~at36yRxJ}Vs|DdVI+rht`i<2mi|S*7p(#Y=XN2L z3FCkyG#URiiC<&XnaGps5Rdj__(UqwRp)O;sjW)E&OJJ^J^ z3K;P22{Y;$i_vUf$Q1$xq1pN{qxG4LL`QAR$apWwNVJD&NkCy~?qs+JB^&6oFSCVt z%sJ>_ceqw)Uj1pcc5qvVIK{M{$0Ob3k9f$=mDQmn}7kM?l7Z} ztq(B+sqH$WG0Nwg2Thz}NBS;!Kg7Hb`$D!{U@&0R@(2&$_j5ZzhkF(> zlL7xG=z0-BSdH@B#9?M6H`x&CY-I)y`HcFc>j9&XC7otQ7kmx$3MfL37V4d6@*~`s z>8)f=_!5Mg+s!xp&iFU}1~Rq#T!|x4^n1i_sJEC$xt7yWwhoI!wYtK!LK6RJ zwM64-_elkOnKwrmvR4`0T44J#O28_N9`gIOT)==)cbHMg(FmghKBHCvPx}(}gc*gD zFN_ZRj6zerm>Is}GhScDU99uFx_%9VLGMHE=)a6niX|ELB?|RxUWgBOksQR)S)_uj=U-nQNvChGRv3#nR20@k6C50iw9+?pRg)5icpYXN8bsIG+!E)Gx( zg%a_!o^!J!uyFF6-A*Pe!e#zs*Sv!ZAXFxa6zv>7EsPY;c|Cy z=_~#TRgWAj$w?Kc@_!b#UorQx_aKaq26(IeC#%?G!mTBP-( zECohXPMXgID3M1_M?odxh$ujbG@>M+1QcyU2mvDzD=MgbnHi|#mIK9)GF;u6wMJ2L zogA$O7BdjG82`!8Ayy}aFAPdIUFUk~sdN(qQI{!$Xn4M_yN#J7qm28EQO147{tOAw z+>Cd@E47$yr^%lr?QIOU2dGh6OdaOpQ$AVmJQgC*%nCUq75T6)67UF9L)6r}5Vdv` zA!=pB9`}W4pBoaQRfO2aMc9svB1CPb5OR0vJP(h#Q!kl0Y>+_qgdSrRu|!A88Mr?p znWHRgjF9(7dhd@2<^-3-spLbZNKnsmQEpIlU(Wq3Lm>G7wT4B67z3CT0#^B`)dI>) zz+PG^W~S0Lgzo~%I)vd9Fklo~Sfyx=-~4g`7y78{1r)C`ibTR_wqL7Nz$PEHTEKu& z=vI(0l8K471YGPhY8NnI6gmNxqBVZ4Z3x+7DnwpNvnXvK5Dgq0i#gY zgpss>t_e8gGinzwU=-?_6dmwuwFnq2P2F7RCsJ#lUu(I5L9H&IoPp;!t@7Qkbi=2V zqLfdvT);U#s$Iaqk4xu$Mp7itNsO|N2}z63c)5VV($+3uz$nz#Ff-WUGYa)f*7SWo z$#MY?`KWdQ5BsQ4TXNs~1)n6egh|m$K1s`I244+OzNQ#B%S932v$qI%(noc@z@Xgw z8)X9)W0E?*R!AFCG&>+^VNjel#!K3IDWH0p!B+#+Sq6g;Gt}G+CQ0juFnXJQj3SZL z`s13HwNh3ctiPGc_w&UJq5A>`W7hRnXpBO0OgN={M#}{Z7a)`l3Z4>MYSBs2u;eUoOOFT|)XT&pj$)&pEip5H*kd6L_Dk<(Fb zX~=^eXZ+r`h|c6D<|xblt4tk?N4xAT zCeQ`$NAN&GyEHe$Z>~MeyFJWXJi0&n|DC*Nuuo|5eM5_Y+x%Nc-4FBGdGM!L-4366 z%bEEoH&lf88lU%a0R!GWVctDq-XRYnyyf0G)?EP)`_1*rP10@tjm+L~bD>!-3K-y8 zBaK+Fb93wfWjXn;eB;cI^g%)F@b5wNonlZrgl8iBQDtC&rG3^H@uskdHwl9>|IWls z|34$rKwy#YMn?~^vSkcuJXoWFWoaS`i z*4-lDJpXP`*L(&S2dGSOb})nBBTJm%Z{9Dz==xDdn9YXpI=D(d9Gz%5BzV`oV?NT z0W?H1nYYXQ9mvcZiDy{O_N6?|^QhqWg1ilP3v`yd^s5B3@MA!wu%7D`mv|q()wGw2k)qN-(sZ}~g7)z^n82NlP z_WO++HQqwPi6MQEMKC3w%WOq-dL2=X(CG_c;*Z4+6dO%XV>=){*+AhJM$zZs4c>$` zzKDOmqUH5;R7ngh{F2S*QAH^KExkJH{jY)J+A_hO2g5+w|3L&YB|e2B()`m%Me|P( zESP4E;yZzM&`xDCAv`>7sz6^H1ox z=lo)v<9rrN%#HZx4>pe$J$aHgk?u~HgT`f2pgVa#o_Q4^ZFFS3_M;Nf_JbC@(p+Q~ zxCfTaRZNHJwL`uUG-HV*A&g)AF}RriwWf>9o-ij6C+M^P{?~h~0PIOupuNEA76mn> zBf*RQCc++gc!x>Kk;X@Vq&H$HoHs!~mZ>a+Hqu_ySO^tZDa<<2?+azra0&Y8&)T0s zM89dHf=^_&EJlDf99pG3=bp)qvjHYSA3INLc5ON@8k}q(DD4&VAr|)m={Xms;*$c{ z3qFc}zJ0L5ZvhqeeH4Y?&eMY3@RR8b*ub6z&L3!er90>$Npm?6!5G~eG$vzYjBPqq z13A65|1!`}O%2uXkbN~=Eg0I9G*lzU^T((<*68)2sgYy7!WYqu*kYE&WWE&mk&%;h z0Z~b-W+?B49{iE+gTw~=eE`&pz(3z)hUD3q_=*TN$N0`5^uuG3Z!-K+XvX~p3>YK} z@Q07J|6Sll%JDEnwi-7*c#U*7`djg{9H4oFD9yEv7DP&tN_o8@wFC+BW*aAa!-S1FfcwTGZH`pLcg$2ot3Q(GEb z<0O-4`(JEna2>)tqTj2W z=I{|xK0-(O4e+i|o^_TdBVXY5%Xo{F;&cY5vYX0$64Y`HuJTa1JmW48g3BKE1(rkJ zO%v3#kUSDj3n2Xtuw1e)lV|I6cAXe%7Dp@d)Q1=d_C3O>sJd)7hNv;Pfs|U*z;Or}DQ}O>DPv_)MJ{m!w?I$|G3IsZ=M|6et}E!>YIoNhxT zLBIW++FWow!*nuFGyi%tptMjK&*K!Qb)3o_j3Lg;JkP8ze`stl$Xt3G|b{i;#Dconic|oJM%vkUvAPwSudx zw{mzZr-kxI55v6PY~}PVPUX4$fD=PpF6(!S^L3og;8YGOGwZ)oy*XTeE~g@={1HGV zzZCa>E~i^Lm0Jt)*9fwnXV#Z9*EL*kEvK>`4{&}cgYRz6XYxD1_(wQB%<0iF=w;nK z!v5?8rxM?t;rv+suA9sII33`08>bRKKEU}*d3UqF5Wh(WS@b)=Y35y1TN+%SWx7+G z%8kDqo@W82g@j(>2bs@ubNHR=iywN3{gT9=bUs4A$2pbzofaEh2e@2rdWxLl9|B4X z37!1Sw)iWD{Zu}uyE)CQpIJ{{rzp2P<+f@I`?JiOoSFQNuwGAaDz{U*+0XQGD);kb z-pj3!On$F0pE2uaR1iNnCOyyFvD%Zkn9k_w_Y$XPIQ9Q}lKrIc8OxuH#qV?mzqdG_ zlW~{GN)cRlGo9RfdZ+dWSWbD%w%iGlxL)SHe}6M*%0N62Uf?u`*NtLMXK>oi=^9S&;&dOUi`jpPzii{Y^y|zR{w=e;dCc!mZ7;LG z@5Cq5Z~Jnxoo4bo%6gLZL;5H4D3ku3@(LUN=Q!3)r&W&U$U~GP@yeOcK58UB;>e%Z zj>K!{fAgMoBtGicuYRUu>6P{W8yF!utP!!H4Z{mm;5G7R$95Kw9?ltBXeR>T$?%{E?<6h}xH0#)LuW(v9wi8}!4FLPJiWM|J z^NfY>!#>IYGLCH-csq{aak1k#-jSF>xY_2)o!@5k*N$VExy>)Ml-hAj`EPlXG8xCC zJYItT9DuhQm*EWgZS@-GO5U>wA3H8#J=<}?e94gCj!T6ziDL%gv(^9!XXqn>v8kOe zVZB*Bk9WSAKa$?+x!n0B+nGx}x6F&uB$aV&Q3%7_QV@AYNaq4>R%J4d!_$1!Xt z;`hE+GLqhoW4ZGW8F8bGwC5deOIr^h76 z_E%y3*zv7!Vj1?i)^Hu~lrJ=Zf3f;6cc$~%iWFG=mzl>I1V^dWe>F}5M*gh+$2pxD z>$25$i)2W#<0lk-ufhf6O5# zVZ7sAGXcRnBZ4*@^j~n`v<8LkcGL)1#x)&V4*Kso@E0BUPZ{rc8y_=r_nE}I;-IHB zM3d}!UF6Ju*a*l(&fgsLH-%pBd-a`r=M9tP?`6E>?fR$@{G`Y~4BWbvMq=_=F+UcSyHkfJ|!F-HdmvFYPw$#&ygy})_iqb+&cZJ_<582Y%9lf69T= zTGUB;KFxT?)8CK##CXpE-|dZ`)2e;8flsn0t+k=}qx1d?BIk7`oN^E!m z5O&i#T*VjCO>yiW0D*sChR-H{knvsWp+*kd5B$fM8bPuP-Z@G zUx(d{YuWLcdcQmBF>U+X^?nvsbwlPTrkw)gZ^8!;ojyMI@X~@899w>5er`EE5aB^9rdxrFpQEQ%<3S`<77k7Dk;-2 zqb-Iz0NqG>=tNkRhM}*7`CPJ zeo1VoL{VLgvZko$CXGf?Q}OyzLWGFKy4H&WMV^0ArF3JYdsevjg@8ZltyBD=Hx@ z91hVRBz+b3lAS$tDaIUzB~*oD7v-kLN&Ej661dm8?>C1k@n53#-9<)l3DZDX?X=D3Y=&NzQ6M?b2o=7A1{l zNuoXZuqe8Q9acrjT1jEcP;DN9U+)k`8Np3+$^2Dal!$Dp2_$Ml=}Zue{VwW{^0QtZ z=0%kyiTZvJbP?}N3||}@iK(GFg{2-dC*CV@T$hC zT8%?XsIpIw((w#>yLV&?6l=RXK9xD-Cp=?DF@-__MmfJBI zo0*24=~ZZpIMw6G*60FTMewP#7KBEWWW|iF=!5=&fiV5&tq?1EwCd6ButEy;yo^=7 zL1@Pkn(S!ChBrkUp@h(#s&Joso?mSaFo`3@q`_%la~!gUp5G6vzURlAIY>=fm!7|x zk9_o1l@|GqivVR9Um-C_ZkW}c&;Q84M_i*$_H*2h_~?nUNMk>ZX)^KADP%m%>1DX- z`RzPBFIAibPz{j9+Ju8Nf(Dq5>Ev@|rV@k&&!;(s#q-1(3`bpaE90B%H1ClZnsgCb zyJfPbS6P}Tn(vilOd*4PG>ge!vx6{d%R)W0n-B^Z*|?3sU~Juj|6f^pJw><9@;I$o z&-aqtPv31x7q~(1K@N`y8vbiSpy?+>u>9<3-W%xet9!vqOANIBSt-!;dHfEdgJ6<@ z+jTzl_wfN-5w85Yj!@HETCri{wn~?x_U6-io zwD6PuWE$09V2^&*P?^fp^^2P7C$6-9<=6Jp<0Imq#~&TK&Qa5AREUH4--o&hWU(NFs|uOWVIzpk&;bZe#|<&4&^=sGgw zBFeAp*)&xP4!nfFM+IG zzrMHH^DV=F(Im}T`89pn;@9=5mxcd_QojmNK23jR@#{L)jb{wUv^GoxD4)vv4Kjo& znLZaff6-73l~7Wf|2l9|amJ!ket8|$lxlM{{j=~l1d{os)c<)Mn1U;x(*Fk;8>6z1 zf7ejF%X$xO)7}BV#)z~2gW=aT^5*LGw0Al9J=$%LZ z{0D{q%hr2oo4@Pezx24Fyg|XGb=ds$Zbf~+MdrP-Z77EpgLTQiqp`Qm|NJ>aIVI~X f?m^*;_)~jmT*hOziS=Kme}jYL?L^Qz?E3!;B5e#U literal 0 HcmV?d00001 diff --git a/board/tools/dfu-util-aarch64-linux b/board/tools/dfu-util-aarch64-linux new file mode 100755 index 0000000000000000000000000000000000000000..b366f869ace1cdd2f8f742dc5c639e672c39ccb4 GIT binary patch literal 159256 zcmeFad3;nw)<0a`ou!ko1QH;UXl@6?5?N(!M7im(h#*T6gmFuEI-L*`vUS2f(kv>^ z;5b~tWt>qu38={|qJYxsNCZd6aeT%>MRc4ofa@?S?nK1A-*4S}I~OGLJn#GYyr19i z51UVOZk?(+b?VfqQ>RW<->jWJXNKKo6XwS*o)Ane8Kz2<{=X1MO6)St|5PzaIPrhB z$P#f#$4VS?n5Uiv+YHhsbjn;I9Dp%=wi^h$sy`SoT~7%Unj8|cy{?aHJnHb*cj`$K z3fUX|fAadu{d)c@_vgw76N-2pA2y&_kL)&XD4-=a5993CfJgTx}L}htZ!-^3; zU!8x+gmEK-)g#B~{F6S*&YZhYw#0EWMa1?}@g(QpDF*Zf0>wDVo6q*_rvkoMp<8PG{d14!h`1ZiJ7ry3)edvR4iirRu-~I6I zkM96{O+CY-xCq}&KH%fR_hR`ZpzlHq#&@WZ9tL=c@jTpsBMnF}%6Puif*XtH@%T=# z;M{ngjPDeDFUNN(zWk{8dia3PbRCEpfHRHfH_|$;2&Uct=bwJur}w1KH)jm^*Rt;~ zuAX@N%Ts$i5>xru%Vi6F$y0vk?m6tj+cOsIeK>jBiU-!DPhHTUwbcQ<|0chEDv zA35>rr@gi`tnaz(jbE)%ic8`jsos=y5+oLZXnvitIhq+hZzh+|doj-lx z=AVD^@jtg_EH9k0?blCj&3L|7`{f@5?p`zX=DXKqjNcr*?!L=l;a9vS!H zeSiA?^{4LInlb*p#J?rgE^2oAP}y>CQ)IMmOc>c7qQa?S6PpyKi?>zRf7# zeop!CyD7h>8+^DM`DAy~uj59$N6u;YwQlJDPSWos)_7Gsb$wp$ru<~v`Q@1pemE{C z&uRDLZs=Up4gV9n!E3-LgMQ6UecVk>-QCdNjRNNz_Y;!;B=K-R)g&R|pSvkv+YS8> zx+#B4H+(MZhE8WUbYi-ZLvlCrAKMK+wi`NAyD4AL4gSGy_+M`H*PM@1nE3B^-ITwx z8~g>`@Oi2me)e=j=b>)oS>Fwv63PF7s68?H-`q|4#%}OWb%TGQ8$Ms|ru@2Y%Ky@k z^SS!}UN>|WbwlT~?(p5vxwV^i&va8hv72#B@21_dZtxXGyXWeafFITnEFLjtp|7|yAc~60mR4641?zov^+iRZ=&EH!`9`_C zN?$M-2hlK-4vNYG^=Nllxjz69^w*Ww)K}M;nf}V^ zAlff2uPQfDmhzQg)r$64SCy8Rorg?mU4YbOBi2em*>-V5nUzYgzOKB=N~Opb^p{85 zudWGHnZ@e@It3Coal}MBS>&s%F9N0>6wLzuN?-ZXt|BIy{lbtGRW&Rv4nzfD>8-D% z1nmXQ@^yhyqe~_R-LJ2!t}Lpr^Hl{)yJ$doQ85KpbUjO{bStxls*_(dq`Zps>#HjXDj{^pqQ+Mi2$ru3Fu%G1 zy$S{b6=G>%slR5WUb>-DEY%5B*Fay(STayo$I3tyL8;3`>GHbr`hYFN(IWUkT}^bD6ikp`=vfGM3IT}Z(pn(Dr@l7m58E1iLc&=o)!m#IuYwLCz8ww zRF%kQ0|JPdb7pz+iY^^FW}<0FO=uVA`^Rl9|JmgK4ogbkO~E+GJahZYG@teICPi}( zs+VG}PPdDOj$>UL{!Nj#MF<7yd$hZ;J#vT#fa8bxqtJ}GU)1yM(fs!9xq3Nl>U3^o zvTOYS-t@%eoViR_}(TG(DW~f?`grCeiiW-SnwjO^J52|`!n<7wBWga zGe5}|yt(gUUYZ4esaY6MvEaFnGe1Kuck`DwG@$C*gLKUwf+>A3}O z&Jk8SV!>M{f4c?G*n|1`(1JH(2+TWa!DpF;0Z&=*ss%3^yY$~0n{Zn2+{2omWD9ynpap+s_5PMK4xx!yvF*(2XCH2J6dh*vN#I`*VoRUz zXLckXo2n`H%)^jLpsZnPDI*cN%um!*plvx^m z^gyH!Skf0Eeaw>1LfUTYYJV`&S(bDT(jH5CB+|u}^rc9zx1=W^-DF8mM*4syeFf6T zENKtYc6(R*Gmy@*q_0BSV@c0Ny4aG=M|!;_eGSr0mh`nqAF!lvMEaN|U5vEd(baw_ z(pi@DO-Oqz=_;g)E$KR>*IUxdkZ!W1S0R1ClD-+~W0v%Kr0p?X?ca)YmL>f&q&=4O z9Y_~j(sv@g-jco>=_X71KBNy=(hneg%#vrW!+QAfU{~FP9i%>gut`!}z!0+%oG^{ndj^O)EzFkXmq-qy{_I8xp(d^LD@%$?C zu&(VQF1@q!p8ab@Bn9q>DmZvTecCKZ)9MAqsP1#5UTF_G^7Bd~g?)hn3BUh9CY3XrJip9MFa~ z$-BoE$`_TMj!Mw-p)KWId*nFsg+qSr&dql0bMij=N52S&< z^xg4`xE9pew&NEwT4Y~7v`3VGdm<$^v1gr7-BVFl$q|K9Sr?FUYj?!7vTcm(Hnv-g z{;2=9NAkYx+@pSJkEkDdBI-$d1cx#j`IP0i#U=69obSb$u9Gaus?E>JrJQMY}f*pr!xL&n}{CrNKx z#j!~y@BAl*m zLp`QNd%C9Kd1}0Uxk!u)ord0^uj@|RBU1D`N zJ(B4ZwYR%PVR)X}u{KU@o0=qQ+ZTw!yX>i2xG!Y1Kl#=Xw;)_FwfPNtvPDc70fGH<1AR8 zkv6tCLyYxI5e36hwh?V8C91pa5;1}EUtlhzA+K%1sfj_Py`Oj@cBH>^*mK&(pPESi zwt{aF9;3}ceJ^>uUUdubw23^>ns&F)p*Y`c$9mT9y6yJtG@5h#y6!Y=rE zy9QjjDL;5%n6~$Ghc*G}Z*1YZXYE5}zigz9b{m`Rnn+eV?&=}7g|9p{F?`VD{ua;2 zI8U2B?xT*BR<`#QXg58gM%E`nW+;<6RMdXt#2BDVyF-lo%BVv=*&kPEylm$K)U)(x(RfeW{C04Xv1$4EotZj+cL+7e7f2uXnx4ck1;xTe4Ya@#T*}$1Fif#2O!4Jhw;lp`L&9x;)?;> z2mU=)jC&DfGevsEORz7L6J=yyqPo{Q>=pjOqEP8A7G(AiwJi=&$UYx)T#(~ZaZZ~M z${!5A!dH1G`M8E`($^3HoALPfoDbprHw_5A9^gPf*+2Gen)Bem^_v{%-vHUacJQzh zbBJ~Sg0h6q0HVDuE+s}&7JJWHr*h}3E3%-I*P&0Bp--!)ih|V>#5k5e=19+Rc_(Tc zK=Va?UT(ojp!NvTX8%k*x(Z{`_DSai)?h~;k!XG8CQp+;1@-X?XAd8RxbPZHZgL-n~T!a^&y^q=$eW~9+(ZOp#u zlgYz2v~ewbn-Fx3`F)YU4$rh#Q!_-zDx||})s9>T+7LDzQ$Y`hiM?MG(S+J`4dbWs7HHQ3|q!IZnb5c=hBwRxvtx?_t*~Y zYK*8&NWeM@`=RXoKUZ z>&8&+Hl+7A+ui#Q;`;)=kBErOQ**Qs^3JEne@+zJM6Xj5qyB(Xb+g2AH|B+`dCH~QIMj)@ z=^dtxwx*S_QeMd4CFSC^X+y2~p&{DEMq2vU-U*sw%@-5245SZ&e_huzwe>NfLoUd| zzr@q=F?hLEh;3}Y3AW!Aa?8GDT4}V6)RL^}P?i>NO{=3c2hvTD2kE%P5J|%Wdv^x( zIWMLC#5f7e&pW=e%zLj(mi@wziL?dnm&-X?2ORZpoP@C9%H`Vq25yvz^G?z3#q%{7 zy9?2$i|~C9cJe6Jykmoe_C8_-Z9*(Qj&F=jEdB|~Zp2sMqh6pA2;o&FSYg00LVn`p z%no4pEIp0=xDf|@7JX}D|I`#s;Ooi|)QgvS4w^j~MwTzidxIJ3@`o z?CGIHjJud^OZ~aY({Tf2=?9eM+Tyk1Il68w0-iYL32z^5{d66_fU?IvaR0ZR_ncY% zbc@}t$8#9NVV{BrQnW9zmip~#M{}m=V9clSpwPZb5gqVFT3b-BDV=%f6UzAD*X+03 zPl)7g0~^st>D#1G2G2IzYi3cdUmwA+!+0*|yUDZr(pJkE+zDQCn}a^M@a zw!>#fe*QLlMp8NQJM9@2!(&C^F~`8xbvLV9+a2kxJ%NArM%8`Xk&@GPk=pSt>K%iv z3C4*&L9{m&_Lys-_l)$Lb_q33>jhb^M}5f~cs}Z|x1L71ao8up2Wx#F{IzlX#PmqW zfi<8S`)Bx%8~duOp2dCw@qnE3`+_e>*_}%}1ARD7`e!=F%QnMtT13jAU+YVjw%Wey z%*9MIUaqt-)F}@ z=Ua@)Yfkt>F{dVOvky%A=D_651L1c$Fy>8=Z*iO$-`H$J95AKzEtZR?|CZ}Utfe+4 z?W$Erzwg9eHrE|W7UP@jsY&FMI^co+-7fh{t!TrT3-IuAoG2uJjBAoV@=4yVI^9`F zzM7yHk~hbI)^D*E{~qmmPaFCn60}>5F|k30?HG%unY7Q?4`9wTCU>_Fcu|f$$0cvg z)4vKIx5+)D|2yxi{xxw0#hV7EH&4a9#Gd&$_RO9&j`SwPdgh@mv){iaAE)FV%~-P% zwAIM(4|!AWbq?$pG+sKdR=5u_i-n0yO8 zWBm4Qr1dpqK*uuYNg?8+>67 zZGn9NU;V*X3gQ8Ya*jQSx*JVe?MYf3+70P*4n8*KqBlvF`!&k_&cI8bqCHVdLD^Q| z1wORHZ1 zt*?XzzAGHF{dPwZ_7<(3*pF}BwRK=I^yVVe$Gpq2(xx7FIuieOX7!W$+6nve1C?ox{l5{BM#hdD4$P$it^4yF?)`|d zE?}DjU|T`Ywr^S_?8jbPIW^IqinuXi39kN#{VQsOzP0F~cC=uvZsVSAkg-2y99j{z zFAjswqHaI5$vI+6R^3Ojwu8@9K5v6f*y|D6RFPWo^Au4?{#`q!MVNM_sjL14xyC!y zj&DW3--P;#LQj$!f$v>A0{98g7o2AtI$YdWqYdX+Q7(vw_gUR6v?7sFF(O$MPJ_>M zG;_eE_loq*B3_M%DQSH(v446NG#bB{vqEH|Pl#^_r@G38HrQtoo`y9gqrwIres%`7 zjksOYA|Y{VqOULGC8+J6 z%xlD04I3m@4jm#^W?dpyDg(qyNeAQZx=y%lNq|Lwy#f8gJrgu-8KOXz$rLN!0q;zQ z{SM8QE>>y+*=A6*9RgJhH zLjgZ33-LJE%^c7j+c?O!(iIddd>5&1%ElaPR|R<5;_0A%T(HI7!Ldi*JBDK~Mg24N zj5+Oi&lRxqB**fDoVc>szw$Awe1zm)`P>$TAj{$GtPhg9oYQlnqrE^p z`ZET0j(wdD$aZWSJssZ3ku0c4@=#6+fW5EB=Xxag03}Pui&_wD~gjFf6|fc828<(`tS8 zbm!i*lbz#CnZN!G?3WGm4Km^xY&?9Q!LZ9%$MYS^#{2_-M|+3*E{9ycgx|t)|3;l{ zN6dI%PYu4oJ(xd;ufWbhXWId%T%XqW=`VV$c>17NiTIA2<0+J0nu}$5c4L%PP%eye z;p1ZEo@h*=w>ALfWS#cjTB`Z%P1gG0xoLwwN0`q!f?peGxuDbNu&rzaEhozCe-$)A z&xJD5KMnQO<^um7ct5jR-#>7@*asc5Bj$?vrR722nDd!6B|Kd+jOO;m`YO^jD}y@X1>Lf%1)Zj^mM$6Gg5*6+0iGit1qIgcc9u4 z58AMs9e-rHzuIvS(3hol&@aY%vX}4~;I`js@8BN9T<_UV=Rtw>+TL#WBSi=NGB7Q$)(< zSM5T|quDM%!vnb-l>RvTEPc38KN&B12>r3c&!S(3IqP=xP~9QLo+MOTlJGi`Xk*W; zeoFFX>MeEDhH)ovS>T~*h+usE5awyC9dwF56t&@=qL63llo$71-kw-b9lCCzZ2pVj zxp5HA0_?UEE|hJAyo&9R_0ZS|{S5f%TMi%VuYF@5pdEmpNSZ4AamtW%XMryF)2Slm zrBIyMgFYcv(;xnAO6$Ks+iTa?@?d{~v&vMBv~4k%zpzub7!g?y-KOqxZbbFgd9L36 zQOZoGJwVca6|_UNTi}o_1+dg$`oOr;tye7V{V&z z?hUJR=+DPMPa+PxChz?$((nD4cKQqWNvAK-V@gW1n8TQp+Cvm(B|>N6m*8GRVG+(< z;e!^2dxrW_U&0&g?hQ957c_04|Ix2?3J-6B2+ip%PX#R!W&3(#_&35;mt#+^^oV~?8ChJEs{;1529a%)pW?NP)tLbM}iI>*7cjc`8E_GLj{q>Xs} zxZ*WW{3^yc9||9|X*}sck+z5Uszg^JF@P=Hs3ZAGpS=R+m zx!Nz1I#XwiudE;TbgaSs8eE9qW9y+to{e`-Xarq5{33<4G47R^562w#+1H5FMAped zT#@ZyeBAw?i;3KYw%CTWn@YMynf&9c&U@YlUg2F7gQv|%e+@b;d)S_ucpQ6W?)zPW z_qkGXUO}A?4O)_4 z8uV=BSN2_}H5Rm@IB$leKhnTS{=FAS+%VwUjQT3i%-hnni-FVUZ=~g2=yehNM$3G7 zB^G0tmAw7FqmG!I9kBa5;IlC9!nr`(!S$dY^fjDtYT`2JX)5C@(6eixqy3;WUqV-K z|2j3C*A>U2f5P)sFUFU?5N+Q@=r`jkP4lqd{l0Tt-kQgM751MSKkA2j2dU}Jn`2uJ zpdVJ6^iSlOE&QOyL5$4|$T~7^w9T>d8DmA+n`2~+sVqQUfp`S(*|Ch2xi>+30r(s7jp7h*V}JB<=!!MK zA84g5;=a?2J5%29m2wzs_!i@J7(CEFte3tAer+9p1W^4jdFvw7yX)-EcJVgD686dH)wHrDTyoLcbA z__TufH1}pR!Bh9~<>#fH@L~<@FE-`>1+ryquo3-K5W63Te*X&hB|^Qh|BZ+L0lW+S zUjf{@IKf~pVw|@{K%e8X9&!-W5hGUq9p>aH z^o!+Apq!L5+D3dM(%#V-rO9$8UHICPZXVi_?LpRAm^%mA2ly$Z!SQhkmu3eq9G}B> zk#yK@pUpA*!Qqh4KlmOd%k$f!O&A^kY&5%r}BZE)^-z-vLgSip5J zXtyPuf(|uq5D^t|CXNa9>`Oy(@>$>>+*r|ZRicaqy}~xr!IKyJ(w-bY_>Ok8 z<>{F`p%JtWBHrD68{!6b8*FV#Qjx<}aaYqX2d@V>u@CUj*bEwaTLBb^&EPi|6uPv!@lMH4wQee_kv+8>lblJ zJd0j}{n0weDO;o`?nhmLy5X_#A5liGQStOobvhrB2IMQxOmII7FA7<}@q7<@zftr{ zA|G<@c`uf8Zx+Ur{bqgYgB$VsAo}?R>EQk;$WG1;6<5 z+tdi=%c9gLoRx-F(VmZ3E6~7~AF?L7aGYP^8Fl z{_+d>PW?lXcVYiG;M|5h;huGlUkJ#se=F{pa*W1H`Lqw#($F?-d-N;|=Y!_?pKgzv zTbePZe#EKFb&>Ygi?uNPfq))_4&|jGj+m@Q8gZvK7dF=uL;bML<~+l1{ARN+xoxh^ znOkCNIS*;Oc^|fMw&+-TfhcSmYu6g{5XXdX=tn$+_gg4Crd{nrv?jFi82S}nE+SsU zi=V#7!YZXl7e_U@(~-(7vUW3>D9Q0 zj`=M6kGl(;gM4mUjhIeYzZcYudQqMZ+O@o_Q2sUGiRBJ}7n{h@!odF=<=PNGZ9F~0 z{dngLci1u0-5fvDJwfT8wD0d8H{%0s9+AYe$o|+@a;$Dgokq+b&I6`5F@0i&yXjNZ z|6+za3;R&nAKZaaRgu*6%?!8QYnOF@in8s+I6H8NiV)&WvJdT-Xb%DZj$PD#3|o>8 z9`U=JlNaE-+A&hQ)<05n9~`NTLt6=0!#D@czH#h$-+^(C;7>_dS!2J*>$ueT7w#rCLn%*JWGNgOrYsODF#}FsMJjU-i zU_a=aV$LJ};%I#tGGIIj`>9v9;l9ecrc_Po0sjE|0|jf0H&%>SFn?8?KPgy;Ri{2@ zggRDBMjP}`Js1=CM)@@u{|!0QBjJ3UL*P6k5B`ISH30i2%srmhr?kF|vEaGuU1%rV zOYI1tP2%B?ZVM;s?W_Y0;%N7IpN!8|zaRr^0M8RmyJW_4$X^)pro5zV)X~~l@Ysm6 zG%F8>3?Arva{NfY*yzJlv?s>{_qmUJE`8Men*gIUg`!;qn$fiPQf;6$Eyij6k=Dn2 zoOaq;H#}bJjXZ0-k7I#7a;tEJ4q+kQhIO-JeJ{1e-b1t?4$-k*h`ou1e|Ze$auG+y z9(XUt0k$cWZ;kOG#`6Ol`-idH!?lQa_BO#bAijmTsJ#LPxDY;B2V(Vm8Q0>R*W+7V z_hC|yA2fJi9P%Ob$Cs$b8`q&8WoO3i!k_CglhcM=JM$cfH`-dx&cL3SeOen0mJtg9ma zaTLBV{gl}#+n5Rah`FISZ3{BD>F4TpwBrd+)YL1zlZtWgTs7{UbNt4^f7A78vQ~!r zdr*IyVOO|*i?%765BN6>x$o(Lm;lbN#PA*k;jJR_8upCq5=Gzsh8{)p3;WCbkBxd$ zhudnQ3x!k1*=pO-m#I!$Ez7o<>xr16%|ts#jq;7?AM=}277Sy1#W7+*IKJO7##QXj zm~^fS%}J@lny*7VAAW9A@2gsxddIb>x}PC^K^3vgCis;*P(QFHCcPf}4xFQ&e7MKr{D|GH-Gw+)4DJlzH!il% zI%VvC3wWlF6GU0WI6hm4KD~yr+n>QbtC#y@+%GH65{T7x-7i}^Sm5_z!oBk#;=cy%Fz%+{H$RabxU2FU`jMH2I5zeT+fctL zQ|(v>nD@&jl^K?7b7ml`}H2g#JcX-3vKZggF_71-yw6P_2uHA>?p?eSFJO{C$ z6UVmPI?%pk&?JH1VP=+y&wQ}W7o!a)>N#CF!$aS8^orSxJ#_1FhZA>}Qn3b(m22R} zFK>P|Ga%d>ormvR27cF;iqAODOuJ+HOw?d090a`kA8N ziD#kjSmS@-8+LJBUlBQ2BMNg>wT~D3a~JL|rjRzuhb|I(O8SN(tj~I^ zkGGs|o@&(hVb7l>L{c&62%cM`Ef?bX*awd`ld!Jk&Y(h%Vu{$_2TvTj4u3NXffuION`*gL#~6t5tD^L%F)z z#%tN|Eihm6UqW9Q<8H(6l{`pu4nGJSeXX|iQxh}WloRXlyKTmx(U#1A0QtBJ24Av& z>oV~F7}AKPwzduAIcERXqj+X{Kjbh9{VhgcGuyIG_y+294x>DA>}QXTPMs%pytY3M zYfC>-xIYm-8T>i?t}x<5-`66(J_q~Z?Z^)!7KU^5NC@Yn=G@?#Y_2)fk1UMkdfbzY z!FuP-;yA_Rl=K%7B?fn5;6r(#12~(HsF43!Au49WclvUGjGgXcyi3KIj5iBB<7`F6 zZ&9QTgx{Klvt`b!K{#i2!I#kIo6tPo3ko>rJTZCDJDrzXK862+J4YPXV*_ztDI=`_ z?^?pXd3wY%MHCc6H^Vr);&_mztKF@wfLxA^#Q8JiQan&ZuEyCLWl$s>6_w!QXn)+n z>x;HQO9Ag*==RZGz$IF6(DkEn_&w}RD<(zDLHEB(65}XK1v<}uwIj}kmnrqlT!OPU z*qP$>o?)guUiz}LaQ!!(<0cqWf>e}>;9P+uTNx2*deelrE#6}WRX4SnEzz@4W^ z31rUSltlZ6-$CXYV?GP`_QBvG%~sopyF%?*crLWn=HmI-WZd<;0{xkQv(_oe1-V{Z zl1mXu?Uz#SB8k5_^bQsg^(#|nCTKyU9T)URU|q#qXtbZ9Ej%M;c$sz^o~uwMJ56<8 zj5}$AGF10q-1i}GJJCk*MZBZnX*oE_k+VaI!CBW-oS){kY!D7GWk=)p(BP;rDtQtm*bi+AoYWZK|t%injW!{K?vKYg(PG1+D4OByFvcma$gDHs566 z;5&D?y!!7{8Vnrl4bJm)8}2o5#Vps3y$WI!6~MWmyK+3ec5RVSM&Ey5p%ox)y(3D$ zk@j^1)@}h`u>{{8qx5q#Gfu%+rt8WO&dTgL{LSR!pTGv)W!hzw4J%iv9gE#F+ip;(j6Ol-{VWY{FSu;2L!$^Ggt)Xuibe z_T#sE|Km=7~1~NB4VeEi(9NBBHuo*S zKR6Tkc{caX**5n>Q*G`m(eArwuc^R>*m4Z~_?izR=G{2`zex{!fxhpj@8AO6;w zJW(zV^zlK+U?=$70lDzpjB;#--qRkU9d`-jzaM4%{qu%xg#6hy+j?+K--4ZM5({=f zri=+bi26H0^A^&F98DSQggkdZW~UL$VSh;fWza8z45&|1$J*>t#|{C<=yL$_!!`2S=bm?I7Z?+KkmGTXAYDPc$0UyTq0KHokAXBNp9BJ zK%4H>>2rPI+Hw;4dr>zA>j!3J{&uWg$A7jyza77!-wxY&9MFY4%&i=*F$b}}v}OIQ zFLX0WAkF>7@!Pf!6i3$QzyG>k|2@>1`r8X@Nh2)8O@0vqt+)!snYYS|IzFro4v~3j zk#N>}k#|7clE3}-3u_hOS>=Q8CU6gzwCO``S1zu#!QXHtCoLc!Q@MWLtF98M+Xw1# zD*ar9cH=JCU;69Y0QY((YTJtOwfB38XL$745l70w`AF*x=<~Mk^R{9U+Qa*U&8vc^ zbRUD~w(@sDnpaFcyw;w2f9?JT2MUkpipL)x^Ekd2i_K!W7$NQ!3xjy0 zX(!U6=Ia7 zcpE5cv(z;xwJcBjl`9oreZ9}WBv7JEo3T*w`)c^ASdiemP=oBQ{D49L6iNat z3mVhp!~d2FYEO^W29ZkNN+lS;D@#j)359rzs8V08EccbyE5(7*>bihZ7vS4j@vc?H zXK8vONFTsPdn6=6WS@G^BnBG^wT zs0sMXOIIq_$f~2}>lKw*(}o6zDb=M?7P<`BSPdGh@zpO;rr^!4BWmzs(~%rkWh5W3 zh!T@U&=m@@tFj-J{iS8f6yp`GRdrW%wO_v^pybKd-8R(us4+imyd3rUrdDN&d|&Gi zscB~`9K@5#8sx?zjJz% zTUl?sD3{gPzq1&W(l1`ARQWLM)pZIV<(qPKRfDkWLEC)w?Fhak7VP8yE-{m)UWY9d zudELQl^Q6=!UB&{XuLC*qqY!)8fq%5eI?42((+1BNXW;VVwKYJx}|u7Z9pllt6pmA z7i3#)6l2|Mqje1yWHV>;5Lf{vs>j4J8j-w~SLqy)$TScssa{@n77L5`&f0T$(AiTY z4_%6rkLvQx#L(AS!C*r`_AsCCDprE!WmR}RE~iL!73RS5zz}u_uLureDzouAv-XH! z{nD|QCM3+L#(Q~}D+PsDkG)imiKMMy&iDf*4M=bn%6CIYxg(t*J5kyY42&>dT#P~2 z-(U=B6e`n78wvu-((01Ha3yF=D!#85JeuV(rRAvC`Idt+jf*K0jK40sk;>d^RH&(` zEcZ+MW7g5h%UVN6f{kI(%DQb@a?P^J!1T!_lWQjXCznt5O)i*RKY8KgX_NDVldqjz zH`%mb)D2y-3TJ6h;rLgf&0s?fCox75nka2DT9Gz;u>@|E4Ns_dzPwLuQG*)^5RLU3dmrc`xR zMQ~(wU0I^|Np*u#6@XNz6UMOOJ>r~jP-~3ah=p>(UhS**FTwIe0}Sh_Pbm)9WF>p_ zxE1=OhTQaWhJF4I1skg5@S|0}?Haa=^Iw1UI4G8jxw>^VmnqAz`j+AC)ABXv9P_KW zjMOiI1j)5^WwTT%t!}6)alvM+RJ{7?I7G_c>@W?DVL?rMgC(du2t!_lnXh01LYnom z31ix_ZGJf9oSf%x8Ec1LOyv2hsD)ha4E@wIAWF(_vMI#;hN=;K-?pj5q-#0_W*OGR zd)&*HvSD_Ug-}t}i>?rQ+eW+c9pP*;sISpNfcXoyW2 z^NRjPLvXSpDNK|8112lX3OiW7w5C!zBMRSyt`{Ay;GOdgl3UFDS<~iB7kq6u6sfC> z!4BT!4QEEVT*(f?%*ckAD2eKq(Vl$y_)4%F?f2EXWrBiAap(>Nrb2B4W^LCv={+4@=UQHcJRyPDu$X`umN0X)(gK~I_{tzrSh8h;-dgkSG zzePQu;zJGa(trFdE$SXW(Q)Qz78o;YNOo|Dq4AUz+F9CAg#}akjZ$NTUX&{YXWhKn zFq(2olMEMWQwNwnpZzfUTNkKrsH>v+H~c9$95BS$c-4dcG5stZzqA3`RSaV(SBmmL ziI@W`#6|V&`Y`JTiQJN#P^bF>R=X%y|LmXwpFrUo|0$#Cv=ft+8FVMCwzA9OER`#h zq4Gnc#*}MUG%?H=7k!4aXI=AI`bpB*)X5nf^%{L$p3UeLqbH4)A5&uuz7|H8F=`fO zBi3DuI|Mrdyrmyq<@IF`%0ojt0)H-{4BHOVVM0V(?{`;uI$E6Vw7eF3Xq207x~RI> z2l-=^U>%`$SLe>1HDfwFIM#-rB8>v5!CfRZsa-I6RC0U@8vNJ}21^?%v163n>yD(J zE5yR8E=P)b24)(V*CkJCES-tv<@6Yeef|n2>x|`zbyz+i?Z0e5O5L!VhQo;WbYsKJ za)#~_a?6W9oe``j-W*9C>RU}6&v`5aoj<1ay_m7*V!BkHcb2KoVgl(>LM(z9F*#&R zGA*I*9tdITx!f%vM|W#YXkvwsJwrYQpv$91THS?K;Ccj`1AM!3jfwfz|>A5 zq!JI!#{R(SBSaCV*)r(U4wGxcQgho~1BDFKW8FY#rW@Ii^Dh6C>#gjjF<*2|?V@P* zyDGpbG^=VEeAyC=p!uh9;MGE94SP%Hog#~>-*D+z5?!SWv$UbgPdkqx>!QK+2>}l| z0Qy2@wd-=6&Rb8>?F#tP$Dz8o9)A^uWLW>aRrKzNv$qm*zXTqA+*C+Qu?T+|26QGX zxu$Dpz@mowsPNm`As~ z6rr`H)paY8i8``~;SP%#bp7E?PFAjq(zt;u;^j;ZCw=Ju<=G8bnM=zgq$!XOnEMhk zgmHORb}$R8gbbI+gsy6GeiwC3L_OW5=nwx?mHg~8;GYb5#DIC#b#)Cj^~UyE-%uGc zkcyYh{VD?=a*)lGMbBto%q0iLI4D~a0&6JEpn%i4jIf~!dol{z$X^PVd_o zJ!u8Pkx_k+Oqtsg=&dhEeXU`6!#80)bCK2S4>$6;{<3tGaMT_sQNPfvSyL@1A&r<$ zM3$XfT{WU>g_C{`W+FW>ZVSv_n)Au9!{-*Gu2|M`3ivELc;517?}ZlC;SV33wPc$- zoK0L&l=+DFDBSzu4=mBfFJ;68ZWJ9uKhz6@j0xkkb(^R<*PSkiic; z1-+oYtWZcbzB*`{KG75ecKPR=@OL@R9!J9;rRwTibm<>*Nc1T}LiCNJQx-F-jIrzC zXRS^`_bXYi1mTee>`c4rTbD60#|Mv8Do1q8O{ZA?(I_)eaDG0!iYR$Y8mcNFrFyVO z=N^n=iPUKiSEN!Z2wcMmE)P^z3dLOL^od!%lyaypEu|YMH5&B|Sur_+|G|7jo$D^S zN*duiI7Yc8fX)vPe)+{-)(fEeG+b|AQe%RK?t{5CVUIjkz5*Zpd=Akme zhY*n5#+l4vFwJ4o!;-QmhBr<>R+o#xbF?o%Q~=pM5$%c5k@JkRNs2{RD6Ox? zx~P*8B@CyTjq792AryrOmC1!Ex}Zdjql}^G6NLe_Qv9XCCB__}?WBpXu7V}PnvXTB zL>G=+r@*V>A9V%Y5dV?1u<}VldQ=8dqhVVv`QRF6%uLfb{)a~Nj?G5^4C+c%>#9Cn zmpm)i`zo+5VGB(SK@}N~g;ldoj^R?hmj--rA*peUcbj8qh)>L8PrKw6-Gh%AImSl= zb=KrSrJ*LF;_@mV;*=)6;gpqBp>w12x*iQP8bpkzD0kX41bYf3W_o^Z!Stf}xihEh z1+LC5C@7LhJ)J*2cUsZHx%2bq6;979#NRN_GCuvYdYO+FXwyFer=a>r&A#r&Q8#2) z8ARqFC7tu zArWIQAZ2bW8<-C|2ZsL4K-^ARC$8hV;aZB-qa5cAI5--T?H@8+_q`FuVN?R<{M?pc zpX#xE86Eo%bfQ5`>7Yf+8}?F?<)B76l|js_rK1`}b}d@jV@s43O7^%CZfwSvC_}S@ z{AU`Z?2?@9l59VuK3s}@6nvHyqq^jOGNeilP8ra-PERKrP%+?W1F8mGY``T33>k2X z0mBAtGvG-Bj$W_RUu?h?2E5mR`wZA_z@Zyd!OtcG9xb0wt>iu}8i&@CC@J=?$1v(9p;y4G8$6jY(S1nZF=5y6V3{|!s>X9lPNr%f-+ z&AXCFe9)o{Tmw#7W_mn#&Sl!9_L2d48RyQ^nw<=L|D=z z7Uj+=93jKDlK#T^vb`uh8BaEvJd5b3Wcq%S#~<8^!*ani!kqCSXoL|5^efn*u(hZiM~huMXx+n!ZqZ~p zV;<$DzJGRsmy|>uXjYZ zwUT-BBxz_BY$4dfeFvPL%OG-4lp81h<loc={D=WR z8P?LhLwQ&oUC)ipy|sKz^>R!=%sMkh#wH4&Rg^>-+-oeW%enp088K;BHXd>ZH61fMIXK1PPpmKL%hE#E}8Rq0^uLlJbE)>{D^%Y z5Na3h-$GcD{KSQXpDVj=BD}IT@&(~PbD!Br_|wX_QL8$N&oKS(7Xv>doOit~mGI5VI6tBP^4{MPe$~_YbHYCx6Z+Wle;Cd)G0AaOP_clF7B;e z?|+~0%LNtqorMs^zRC>3BclVi5Y83FpA&w3S6n6G>YcW9!e_s9t|wgdT<@<4pMP_g zv^euWdZI7W|JwJ=X2La_BHt0dbKyUV31^LXs~_PPYhJybFfiw}(}atCk6lgp-wXE2 zI}`Qd>1L*njehDi!rYzjTt)cCT}Lh_T>9MKb`YNa^6;yKH+@u|NBG^FRpSU}Y%2R5 z;Vb*RZxIGZ#7rZ6??T5|!lF5et%NVG>G==BDYdcl30rgRLkN?Tlb#{GRq62}VfNl7 ziwJk$QmqgsyjB_^-2SfbzX^MF{PRY_dtZEW7-81Euk0e+^Y9xl5DvI}w_J+X_1rU( z>C3MF!xMzRt9-JJP}#8WW5UOG@4t_*$Fav9g!dhKw4TsC^YwobKC=AK{e=An|2>9q zL;tsfgng6z#|bxHRdyz=^=mYUTC7iak z_esJ-&Cc5hmwax!i17OZapiuivrDOZZ{);lqSCZ~a>%;d2L$Od`zx z{GEA(pOroJH^Q<-Pd`ZbkGQ>431?4u>`KD7F6nuMa87#SBZOaH<(NR|T@{l{c<@v2 zJA@10DSMdk(>toh5UzZtdH<_y3#l*UdLI5GHP2YA0-oOZYY6)Cs*#5Z+Q2Uq_g-NW>DZziR(o zgsH3c9V7hxC6BHqoRt1}55iyFap+FMp3l7g9^n(8zP*Of_0Hdu2wyw&>aB#HW3PQi zcxv}QmJn8Lcq^0e<^IpCBfM^KXN_g_JBNGV=e*bg};U6D(>Ys#b z|N7WW!jC%ljwa0b{11O1{Mmsg|4cY~>+S-=2b=d?Oc*=il_v?e#=Y?};jl&jEF|1t z_U0hMk*i8~6Yjgp_Z*=;eaQ`k+b*fjA)NS3(jLObJ9-=>On)c#TEd@yY9B$^Gdq}Y zT{f=kW{<6`EXmGRvd3JC2R+D+{6qv!8T*iz!jLxnLwFU{bdyYH63(9<%QI_s?SFM| zH`|Qc!;NI%#0(uv|60b~mhg@i-E4-uf(@mmJo@ic3G{2=W}q5K1(3lFeIY*7Ije8RPuC zD?Wc7Ii6MK8hJ`*p}CHogWKU<2R8p6sr1Eh0nY0$U=jX)kVe26wL=LIuE@w)iKUJj}uFX>7CjI?4cz5I^~A=_rZ zJbBZMUD;&W<#CJkp~1eJH}i2<=WM)w|NaMPNe7LeT^RKBUGwCG1mi#h#tsQ7T%#p& z@#E)53H&I5A0_ak1b&pjj}rJ%0zXRNM+y8Wf&V0d6*;QRzS4kZS)1{2E*vGJV*K5; z{+Z&?p$vB6@!@`|M93g0p4NEvv}QlWfl*9+xLxPZ=%z?E8cH<^ z#v4!uQSp3lvMMnq-f5)Ger++zCm7Id|NBn8KY!}2*Y7maX1jRjyX^0!M!r$~|2OcV zA@~2Ofi`1&e}wwD{ogK!bH{T% zc0)gCeqEH(e|~@+{U1N%-7GXSFK@CkbYU@mj?^$}4)5AkE8|Cw8##K!ga(Nm zbMu%9BS()LIc}Ja$M3(#Oc*_3v|CI88Qom##(rOpDtY^p{2xc)7wGAs$p+#aFdS*S zP;gwO*AHEym&cz|KJP~_-!a)NziYHn-dtPGsil{Hdx2TL-L02D()XN#Mp7^T=apvp zeRK5k(_;Qp`Lgw9d9gq*zt~($ )<{|LvBdVd!e>g7+GYxQ}{-}0bY{>mb~{JwL_ znaK=DQfJj^yA?9*u@z2%9}9ntxi}jo?cc&Xi9a$9Nry8Yf0h&t@? zIjH8a#kI*)>?Cj)6BCPDil`8q39|N=QQWzKR?Gey$ltkc8+PoU_q#!s@&ENb0{s zG5fu!ojCe);NrL8@kr8V)d0?2=w;I9Br2T!Acv%H8u{pa5hRjM@j2P~G4UOIPIEqk z|C7Guv*KKcCX-I{d8l(Y_(}SX&!e3gqJKd)|Z_Stri+J0zAQd`706Hga2&@E(ixkcs+)hFBze*(#B< zA|b#!eQmRl2qhG-PKs?l66+K0Vxy_H-JrH1;eDWz(rgEj*p#r61YEY|z->vmjvW|g z+lIuw3B^q0$V51yoQX?h;^72pNYZeb*p;xHiIKKpsIxEOIh>*uI}ffaNbHX53svVC*JIc*YUHr$r$)$z{NQ^fJqPG zKeF9pJsybVP)h8ZCN>3F{51j5lV#&igObu?iHk~gFPzdIH?g2_egaALSjrZh&TNck zk18I^CilXfSJ9)I_%va^on%IPkgz`oP3k#@g0;U5x%M1;86M;RPO`lwmJu99XM4F> zQ#dxYp8N1Q`As7BJGK$Q=j1z3J@(IzokZ}t z@3nY}A-UL#V)~#*DOck^k@^?#w>Gs8GH*uLaQP{fws1}A65v;-&H&4+QlEfWSEhc9 z=M|~bfM1?^9~5?3>NJSHA@wiFtxxTNM(PJanfan9xP|4sNm{&mz#=$*9!%{h1C zx%VJSQaD3E^uCzSHs>#h&*rn;$zJx(VK*JliD;+yC47!`K1BR*KF5oGw}3(n<=1=R zOYDqX%Tn@zu%+xp5qk>$ik5Js*wLAol$U{zO~Kzj6LBg0^TqKgjvnA3<#|r~lw}Z4 zqKJPN**%iyIZ?y;5Gp6n=coy1GqRHxu&o&9aP&SopLnP9em)oQIYGo<+8fg{`5F$O z^9cS+UNi_K&;;-I*!mK8H`JCo_6a$g$gZpq1c=CN#?Ut#OI;L=sxkkWChNbJ_-7K8I0^cNfPZ0v2vde*jwk< z;IB{0MDUv7tOFl?`tezDHiDZzX?(ueNh$QXfd3D5Hj;^fe9m!B2Cse6`8-_8t4{`> zM}lwmz29y$Db=J;<^iyiG74#t@(2jqQk+28MZZr`PA`)E9*f9d{ioo)#3;h~g(821 zL6SxKIu*2?f?wbMjyO_wL7ie!9Kgh;48#9%qTl;OQFl@X&SKU5 zbOciHMri7(thx;UJH&tMn*9!K)CT0aQUF_+ZRTG5_k?l3%x3m*lyG5w`c zcg#eUi$2g1QZ)wbL;bj6l7(v0<9nIohDrXjKt#{FKn(l>jmI`}h#mAH(pMl=hg7CL zw)sIIl;TPM4|neZCRK6mi|^{)-P1h}m=`d>z;w@x9_9@r3^)S~@)!ppBOne6%5#8- zNMO)Wc|}lBF@P}%NhXL1M&c8VCn0K1(0C#mO-Q1KoMRG_m>iOL5==~-M5FijTUE7t z_lUVUH}`+P^Zomq-BYV-tyQb4R;_yUs@hKI5R+od8pk=Idx+{3-;1x%7k42V!xUnk zMo7%Ra^g|2R1eyT+O(R{+t;(83f z@uFqe=`qZ$PD*Z`fSoIJgcZ=uq+zQlGI(veAb|+55~6D+VYRSf?aW1km3;~&+KH&L z&m-^)1gaiHAh2)!=%e^Q6>V7EVJPQ=3p>v$Xbo(Qw@q=0jw5C@UAF=7mMQ54rBC36>YS}{^;FcqOo@{=+y1OmwG|fkD|)| zo;uclm7sc`EQ85fAF>EN5WV4}0y5=@3um?!5v0CB@=qe6aR5rF{=lA{1BGv-iBNx} z0eKbA7^<3jlOvP6@9?&<%e#9V^`{{M?%y_MAXEQLgBsY^HWqIDj*^L^yYJw(#t|%C zAM<${Sv0|!+);laI000R(?O*EO7Ld@&*=i`+k_fF#%g?{eUX&EY?!2uFxv9n<^BDu+Oy805uKM>Bv|vuLFShf&JQ>iW8hW374 z+^BonE9hj>+jMks`Ka#-?T1Oby+3WAN9(kb_%(yz5r0aZvAN$t4rlsvh^_IenGAA` zoh-7s_o{I)3nIefWRNfjCn}{jIR`*vE%CTCbBEXwn%(+TcI04<`zdl55%{^~a1J@#X*hWGy?Sk0i61cO zo??{-5;Sydu*GC>?5rXj^tebNP8y`#AVjDMEVmqPA%}(%ouj|b0y|Lt2^~QH6mZYI zhjy$!@B|_`hEyDiX_9w!HB&25(V~DX@tY<)ubmTtAKNVdg;_dh$ujCXAJ$w=&3722 zy%c(OpoDgq#r_#NtUfD;C0AMw$zFqyfXw_{4suh@DixIdIfr=+flY)~uN?W1Kr)Y)T%&%bR zmKsTvX@p}C4?AK1K{jl_J4oGZ5d4@6GT7ap!NIH2 z7<7-)pvT~uz+%hb=VY+mAb1j-NoSC#(hR(s_5bZx&SjcG^7_J8 z1Fu;w>0UXG1QHnP&tpY||M1Qz2Rv5v=TYSGs9t3HDhIH6Amktu zX&1YgyIz+N%@()?lY&U8h8q(&5+|q^25#d>#k}C2?SZgU z-Dj|70_%v$??x~$H0?DH@y|&-bmg?`RF|8C%aCwd6GYwPRvW6@O!68ehhdjF;3a34 z>jAHNiAn87>L{J6XPKC4&QoKY>h%U;8xWF)oP%if(Mny|TamCzC!`7sVpE)F3>sHv zTLrB{H9FO&P4p)~eUG0ywC5dlXOIIn3w?T&&V-f!il(-ezulCuQq2$SLa8p|%&huo zLK7SW-?!5VmUvZSb&4|Oqu--RAyb9b6h`YPN9@W=Mgu$zS{7IZsxppwmq7)m^hXfe zf_LcPBYp9-Vc_+Im4S!IfGT*1I^1UvbWgyDd(v1oYSwL*%{vAwn~d>M;{(69Y)+6( zB%YK6uPY?4&=DAmxNr)N#OekIz8&=iS>N=5NRMx(kq>gQ^?;fVV&&5? zs|^BLUOss+QhLPtH}wQ!WaQmzklsIHi4=!SvRB3bq2_{(RK`P;;)p@;DkD|J!l1Vz zDVci=9#fnW2C!8$`uFByQAc!Q8&OReV$T^ml#X_NdmabGp9Ky$ud z=PKsBCfC$1v1*D9kTDuSg8>Q%%s0SD0^1ExMIdG>=NtglEe2q9WTEbT)e*CTRUbA; zlzX&Bin=F0Y~?;=7VDjYMaQzl=zkJ+rWakdKMsr%%>bl6GhF9*Q7qNTr8jijcX zoN28v>7M41OmP7zD4sB+SIaj4wf>OSaFb zYXb!(rU-+bXP z)#ogqb>y@Etb7_)YkAC|w8&^$k{mXupIQ!|A&2!QF}*|)k3%c>talkL@#J%OsT=CDIBH|xJ6(6 zzz7X|7ueSbc89wMzs1)aHwn^josk+#`t2bD&?wcsWB?ka>f92IMpN{RFf*p8*d&N4 zvg^J7K$bN5+gf?pts@q0s`Dh87G?H&FU30`FbHBg( zm;uPJE1jWZdIXjP3C)m5x1}TXtky|*3A8~fg!I*g2B3j`SX0ozmirjJl+I|ESH07G zNPkI3I_@FO_aTieORI=ZYgekfeMsk7B)xUFKh@+uq}3KlFO9Zaq?$tpNxH>JAH`?V zDGshS)lp#=j;u4E-R`sq_=)sx2gBKG0o;TDCh~jOU}sDf4aLh(BPQw|yL$>y@XO82 zAb!9;Ovj38tyu_uNrH`;2;L{bAY!xm)<$>@(&p7)h9EXm?$HdU^L(Ug{8@;RF&p_g z;Ha@0^VlJS`8p$;bmWsZ@&$#+b9Cfk8@V)!$ZE+Kf%Zr{@@aIBeq0qSj<)(nQJ3oV}(r8 zkwqqQ#%EBY+*2xa7@D~NwazD45@@>$5qyGAoIe^?kk5=Zq)oz8=rGh)fPDA_zuI;S z{_z6EtANm|d;%A@#}AbJ-8Uj+Iy0vOlTUPxn!%s2OQ7H3;S9A;KF~Us7thO) z^Z)k!_7Hf^feYlq+KI9M3m40SU-5xqA9S%iQpX%Wq8IQfC~gb5 zXdgTUWo^&H1n|kdrtJdMJ)eSDTM0<`3=YoXI-Sp;y7mzVhNDZI{Y@Y!P1S)>?`!U} zz0d`y6Av_-yRN*uAO5j-u7I}nz`2GNmoD&v|NqAOQ$SvMpxluEo!6xR4~6xsd)n(h zkX(2mKc$^W#48n`&RbDud_qe?GdI9S@CmIBwLOLZd~!e9wiQjlC->T!KSI6p2`vlF z9Ei5#6S_3iHW_x6Pky|u2@TCBKQ{9bbP7J9OF}ajp_%xE)`n(&2nxU_R1{(p$Sr{S z0t8%o_W|$tKmmpv7=*^;eSH4cc_5+TKwVnNcn#a%c@F|hjokTUcBq+qp;mlCLqcu4 z5yvNY$ILtwlh2Ts-L}=J2tK)6W=?~a@(B$MwS`eBeDcP&{Rydj^2?l;2f{`>Z-Arm zk6o3m{bQ}q1D);oX+%ciBkRgtK-Nb#-8t5J%!ZGls@=c``N#%$tN};ykqz!-(C;G~ zyp1u&M>hB{fpFx0B55C4?cW-DqaIm^e{1Lu%aE%5TSIT%!=U)LhWZ%R{;i?EFs%Js zLw{vh`?rSPW?1{ThTdUV`?rSv#<2Ep4ZX{-_HPZrp$UNYZw)B{w0~w%{ITSHZ9KLG9D8X7Ht_HPYU3!wd5Lp1_u|JG2Qss)YqZw=Lp zY}&sy)TnMjKE}T_Wc*u0y)2~ow}w8)p!RPK9bj>_e{1OT%MeZf)^UgnM;--~#m1!A z**77;ST?1^e%i*;zx6HvInwZcf9P<}3B-#(bojd4NiY7;;p;!cAT>$+q3P9{(ZF6V zUac98tWNQ2%@{iyLG9HV89#!W&skq&!ZJi_uhz&!hUwMX2Q~wUGmt*3(Qmj-2#YW4 zK>DmkpLgkGC%&xV$OHt#5#||=BoNk)rJ1FVAS{lhnPoo&KkZnWSRx_A-Fs~toQi5b}Y@hoMG))nze*s?O2+%lws{ynzf8!?O2+%{2qv-9ZR!TFq|l$V`|qRR z$I|TK3~R^I>|%!LSjw^w((anekg@wG#JDcpDKTJDo_ZJQAxU{;j}lGngTarz-_>E`F|x<43C-A>$&Gnu}DC zajz$1Im`EwNk_@$qvk&a9#X~&OF@6iqLJ2VEI zbG%&AYR#)br);w!n~ZEfYZ#-}%1-#$eg7Y4ciPA9Hz{_pVp9pTbR`5LGo%(ydR1A( zX}?-bMT{BT-85zRsNQ`jlkO3V*(2^jwdx)r_}n`gCSH?^iI`aqrT-lD_;PaFMo{|8 z5`t9~wht_2AGi^q?jg(ALw*LZVg+vHQp;)I1N%C42U$VV+h9p5`BDw_^ya!GBJgwW zccRGWUg;<0Mr_z3M|FiTLWvHvjY5gmnlw*`A{#UV^phm;M?u`-cTnba%xOJnhW`t} zs|daTu=F6%=z5qCN0;6A2Pod^*l8olR$Z~!kyD}-uwGQ|@&=s`c*#fYJzxb(Bp_IW zN%sWX?g=LJ7nt;zrQi1_OW#5IwI)4Xx%V0-RPG(n(s^(hGu_cCd&$(ren=d{NJu2< ztpjg%8g^0R8|o6u8C>9$y<m%tlN@Om zb?@|ew3vE(2uS&899qF0s1IA;Uqd|OZUigQt~SH<6x2XHv=JntU7OhmCrp~Z5l|3T ztTv~&O3`ituhJM4_8w4cHG0&lQP9Zqel@D%Z`*AGg%I49fedMTrUx^IWMH z$bYOX$H;$}^2bcNr-X@(NrNi%+fBM>VeeUI=}(aUPLu90KYri$6v0^7-L;x4)#X!Q zAcKKVU1R{FDNUH-P&>XRh;Ur=v=}?ZWi1AStj?T;m1khl242`HyVHnfq z>+>O#Or;oQlA!=)kC}KX#bgtY#w^=x>lN{r>G-JI=jqsClT1b2VaSXk9<@lLA23MY z1rnw&oNiTfKR4&_m9Q|?+|SMVk_5SPn=Lbi8tgOt?_Z0T>D1ay#a!7`teX$b;gda~ zZan_+$sSj?0sr`9ZK$(5Is+0l*#|Q18}l-qI&Ql030z!vGf??tH`iC9o zF%7puf~>*r$2vcSi%?MZ0nEZaj@n(0syt$9y9T|GpO^7p7WSckeqkTpu?8GvVITG{ z?6I1E3o06ZfXU&=L42pJ<}=%1h-bf&Z^8yHGT3|oiEc-X`KG4OQX z6CgXivAJy)BTVDf#@S-Hdg>Q zdK-maAV4ts2nARuKqz{QG>ZiYNB@IpO9jY?o=;$f0FmhDS#PUFfLtx~S`oTP3w@Oc z9fQ!jAz}{IApayd=enB$U!%}G7VGv%*og)STrVUMkbHwAuae|>NS1JS1eRjl)8)KT z@;BwYRR9$oMf%&Nq65(>EX`g?2}Zjp=EnsHML*2~d{Th0ZmCZTkP#h826qV%iSqQW z`vu9<6zyJr(eCq#whB_^?4z)3;sPG?b{}%LAhwX<0&bbP-*7+12!;!|W#&Hb-o*%p z!x7%bV7JUZBHGGCY?u4WM`E#tT)cGDj3!qXIY~uh2A*Js%BVk zoMqK8oMBEtXD|2-nQ~o{eesjXOK((VcM?f&RAnz@SZ`EiU&657sLH;SVZBk6y@+AG zQI)-zVZBk6eHjJP8&%ntGpskNvX?NdH>$FiGORbMvX?QeH>$Fib1>2yRoN>TP7LBk zRrX50WkPRMWp^DySZ`EiuVPV>s6W=z;D0YbO^mr6WNs;X;Sip94UCas5t=tJW)x$2 z;x#a)7Ff3wEQWip$8)U&MV_@pb_Nn*XpFu7wXm@JE24+7+=yUdhfiVRFT<>; z;X!s{6E4V+@O{L2PREmhQ_M5C?%iw@w-p5&(F15Luto;lFEk>e1ZQyFLrf~+8C>@s zqB^mA@#TK80MSksGnY!!yxmJ0LP^ya(hlKdS4nFO zc>^6%jade^@%4^sVxTnkS4K``m>u8|@XR4y88fvrv=<~5c)dJ~SiTrZ?<5ddjlgHB z5ZH>qtkKvmxD$b62vpvJK(ulrzT=~o;Je}gzOt&JN@q|hosCIhc?^+i#&R+pjM}~( z>E&4n{E7hwfoKhODb^b7SF!3EtvWCO%^i)U#16--V4bQOgYip^fralzodI&Geh}71 z&Dm~HlBj=vRPWs=c@5iF&7odTMOL*{n5?QfOt~9i9ebzhVDEJIoxZ+q9IN$0>VmuP zI7uOEO?srj_A=OeXo6ZbGLqWwGyuxOKHo!xLW0HsfMh!B0$y5b`@==#RgU(n!mvAEjJXTW9KnntG2slk=&`=+T zQ1wqS@2`_P-;|Nq4vg)F_j(riw_)U0&dsyatR%gl2e`}?A%B!cL=@(r>=#R@FXr1p-*GOa&C1^aO$QXur5=Ol#;eB?74 zLTaIZpAuSvRlNpP3k_Gjz5uLggAw>W6ya6-%QgySZ@j>HjD%n1PQPa!oInfU35|E4cKVd5`D{Hr=%L~d^O zCH%=t2s+J6OaWPxLMTppQJQ;9GCS;8og8)7cPDklH6Js{JODITl5wP^FE|>TXOX`- z&8K|HAMug{Cb{a#@tV&XP)oJUKov&agOUhe9Mx z`Zq-g{O+)8W742XJ~q7pom?G09_Z%FY+2x%4yS=u$&L>2Cc47!ls-F*5@w6=OFPO zI&SlLnRy)QpGVTmqxn>$uGZ@YW1kCDo=S({`FW>8%e#9{1)S#GF&dArR*U8l4+*Ey zf1hd62{(a3b8uV=tKNszyu_E#=1(|b64<3yNkW=+2pZAFI#}Ie|N6Mbjk;|cb(YSA zV+QvQNP|@=uy09H zhUC%e&q(?<@S0=INy(E&sS}Z`_nnCEH1RZI>wWR-P5ft3xIJFHSkdjusoH6hIhE0@ zY?9M_X1vboUXXrUlVY~fAqaiQve(dl8R5J-IAh4Zf$S#q8Q|LloC#V`Ns0J?s$w6& z;$b7*X{kX+Rx?$DN$d8+coSkw;f+j4r5N`j+o|j%7Z}tQi+aA2p8Q83RP8c}KZGdA zLp6$hJ=U#dVup0;f%Q9V5TP6LQN81#khe*qj1RMb3Mj!9oer|21cj1nKpfGgyN3bGNsvCQIm0DL&()k_t|HE(ziQ413DQe7XC#BuxYpq_J+)gq;~=W@lX&tShCFvJ z7w%jGLBq$rG&J4r-41M?Nmb+HmWQSf1P!0up);R?k>TUc3(eew|9srpp_xBMFXNN< zZgA!rknzE-fYVdkzB8-P^Z2+ggxjVZm^^JIUUNcUG4!o9%U8;KXz*%LdH=b*!^pnV zbSd8DxpL$34QtkS<6Tesg*iTl*nHW$ZmT^|E$)o5tCsN%>151TvYeF(92sK=MtUz8 zTF>`L$*WrNGUKJOWt&!Ev$zc|r`{{fr)=D~N#1x(mR88dx(1-t9jNip=Hqpy8}Wju zn7qzuIbNa37fUVUJB7MeF2{R(;IFnDmBh!b4b7Z|O5)=-hNjuQ>6r?X2R?39Xyyb| zIv+O{n)x-v@o`H-GwsHD!7tRzHAv^?^@XJyB7BQu7&-+YhnLxci6w%9ro{bhyA^zwcVu@4FWE`>uukzH4FsZg<$f+Z|5xT??Dt?r_R?E$s7M z3;TT6!k=G;di*DS*TNApNxRWAqvsXaBJp6$@OiLhqRgubTKsb>1Ghn(- zJ~sq2zu_JSl57;_b3-umdG|+*(3^uVB4{@U$;@sGPUjHCP4%oYR*Lqg%PMEsZVNJO zw*`YBwcCOW+igLHGn~R3SsAnOm37g6FwWbEpp!QjUn)=h>GEDcw3{dXba^i#K9nc^ zba~=WmnZ&odE!r(=l7?}{?N;mlNU8+`~2y$eg1UWK7YFGG=IA61rK3bXtpWOKv26) zNuljFCBt@`l3}||$*|p~WY}&~GHkagSwVK2l3}||$*|p~WY}&~GHkagX> zf4ZDvhUrhovKRgeX}cQC3jGb5B zrU{s(ybW*(=W@9dljMk^>W@01azG!;gdo2GQtUk-AHF3D@Y2kE$8aMWVf>jWn5oQS=JohYN4$ZGC67kYQp za9jXUUqO~A3S@)0fyhKBal)joL@GBdyi|A3834S%Dv^6`63lQS3phnkCgSYyIMkTb z^+;_=OTCE&z z%LdLd{a7RoD$C8=_&ii!xz`&UW`iSRf`igjZ8q^YBR;X$0MrC7T*%01!~XO<mAC(StaEZKC=fHWVEQ^P_q%gk`7}U)fExbT6TWEBJea+VmRQKL<;>3jS1~ zuBPHGB-q9U=hZVneGkAn#~0xAYJa6wahcJog5Zz zG*2?A-4xGqn=izO?VXL1=(6u-*$Z23*>|(-vk6MsZ)e#*O;E~yJInq{fV%8^$b94^ zQ})H+iJuZ|*<-Cq$&O_oi6rSJahARD@0a}oL)gzCH2BMIb1`LS)>8HXP7aGUng_bn zA&S>wxD}!x{HWePqm6ah53%g?A%DdW*wGKMqc6prt>SgmpSp*?JsnHp*NR(d749Wn zU|&b2ctL;Z*Qh624AGeTnA7u9Fzm>$3-=QoJQdbO?Ck@bpSb&uY#a5TRL2LII^sP1 zIuL`!awaA51@i^5)o-Ul;bIMl9zG4GakEM5rTTE=fOU8ikQ;{BB4$;K!TvJjiFYJ5+kWlZ>5*zf-^g0oYzT`) z5Qy>g8ptj+^Cyb2H(d;=85p;-v3k>>#w@F!AG56D)4{6Hh~=;3sB7I&X?AZz<@3Iy zQuui81eZjg(Wx$|2|ZG1ftZYq%QL8OUN?}qz%<3bqUbI($Kv3}i6aU>xEQHe83~hY zY~9gol3LWnt3IUJ=}2uJ(rX6kIdEEOkZuE0Tke+-&!0gl0HjYOe9iFrbf!HX(-t4n zv*}1h7O85!L89@FukcYYD^r*=rb*CIIjV-y&!nTe&giZ|XxF}FDC=rNyAx{*epGJ{ zv{LucKK9Ys)2%`3qd|I?piE7Kd_1g@woiW{w;cd$KS$kto1j{yd*M6mh1h1*UG#5E zdJ>ooG3fU(h&4vKQnu24X?47KN)jm8<3s6CAa~C$+m}kHp{q?YDCMK_afhu@(W05C zkZyyJQ7QBoH*Li^!l1{bLr~3NkeX^4B*|cBe+DW4YGH8Dq{l3S!HSp2N+(%O2FDD- z2WvK`9%-6=*t7&S`(-d=OUnF24^ngUFi16Eyh?dm8(Z!qUN@vv_&3u?_w+&S_8MWR zrrBs+&DlxVQcz9HO+3}~q`_wgIp4$^o$B0>)J3j()gXxmzV4&&X`ttP?TqD_U^P-^ zvu6zQ1p&=U^$vht93j(zk%~HZC#rDd7OIh^_#@yEJT0TamNq2I-N+@fNB>#CO>%rD zE*a#W5BR=9ke3Sl3Bc#b&`~DS@1}O7%b;2=>01DwBcn%!z;6H^Cr4`5sWVe`fu-3y z3;@>hQ8Uzyn0ZLEPn^Y`Xb{qyy{9KB=rm|IA=JUJkwe z78tWCZ^bu;5z=y&DTizl#|>gV5YHIIT}1S}mbLM8;-?KJ=ig*^3$aA6EWaBm(LqQw z07junhNwElG3sfEG@kwK%y~)R;GJ_oQZ1?nl1q`ZEA}9M5xvJUlm)=z)Cr5B$`YrmpIdOs$K_}c-B5GDS1onOEbY0J<(?{E(OL`gK;y;de9Vy zlw9^?b|Hc_YJ@exdU)w4HJltD;W<)Uko zg0Fiodmydy{EQtA<{gd2SVdq0VzSsiL*pid0wNw(pS4+hhgs}32;RtaI;D(#rUlq5 zz6>heQJutEBNjWzk0joxTWHVjz@0%hH&pJ359`l9EJ^J1B^IDQt;R|pD>O1uQO5Zb zQ7)}0iOmLuddmwQMQ`mH49Y1B;K;2`Hs1#}%t<_H2-rXGFg#8FJZ?(N`rLskdC7-L zx$H>eRlD4G=_Gdhs^|N{l6DL`116rbz4L*xv5MM!r5Fq4?q3Q|eu(CUVM5O?;KB5A zIAh;nXL?0+&XU||^`Q5g!)#}IMLN@;p$)ooXo{&90+!QoKUBp17-5b9>W8A${|>lG zI^T~3uAB>4I^Vwwyn(QEzWUGs?InE)+6$ZiU07nfoq|G;JoDNVE zxbt$M4f8VbZ@N@brrS)0}_dRLNW@%X`Kr=f=T2%$Q!j$XS z7Tu}=aVcI3x(Tvz=nCxadvZ%tF69aed_U5qmCM_bQa14RdpOmEZo^r}$Xv8iyNM7%#4m@tTbdpQL zAb7o_&to7}*J9F-QP76K+m?7ciMO9c1X)1q#l0{{8k{p^FfPzC(p1_5Wbo?Q7;yeM z7b;;IZ<@hLVz9t1yIU-SlVtGv*%)x%A(md(^_>Q1JsC6w?ji%$b#NiGU~B9*7$=A^ zCh(NS7)=am{=@A_1hJTrZ(z*}1WHUvI)D{_%pj!d@%p5}P4pRS!OiKqJD29<1Ywx|c+&(_V%#~#E%ALB>U zu(6?I+8m<5*Cw&TR0kRVSfg?l*H2m*3T@pIH5xNT+x31KR5NDKriy56$7x&{#V?fZ zZwzi|&+F_ZiD?FzjF)QU50c@yLFLGQli?egAqM4`ZG^;225I>y?B{BvsC&vwKJDZ2 zyyc;Po7iP4$4R{7L;6!XQY=-2)Z9e&tfa#aWf}(a?VDye9=~EA(qxOI1wU!WsH&Jj zx*44C21v}6IXuX=N~VT6JWQma%2PUGt3}9g#_}#J)hVXga@wyb8KQfyEw_VKXMn5V z9%!;2v^2#J3Cp0>8Q>J*@=E~AGI(Kt8$ACCSPu@DN)B%UmhRGHg!a12hCpMf=`Qmw zMR(b05WMbkVslc2G7LH|(4fcQ!+{N!!S!UY!XS7IR(lK*ZH75lQM~7o{SCYCPSt#q zz$IbgP6Kd~`?$uEF<&ozu~dGT>_`av|eJj52*m^%aXN%=@ z*dVdTUaXO#ZnI5}ANBF@WwP2LIJGx(c9h_RCh9(8?pv(g#;HmbHyfgE(%hn0do3|X zYs`d={2Vc>b!3Z;EQ0OQH>gD28XGy9n6=9!qXrwfpFHbyWRs12j}x?d9ocLm&!sb8 zuAHJim(F~-a*Fy~2O_FbL~XH-bgkBjEpN=E^qU-8?PZVoPqh93((ozRGr0`^_!Qi# zTC88!Wb6Kx`9iqW`f0frPqv4gGv=t)!;qcNz{=L=qxx#R>}y(|f<%0>KiX=KHD~Wg z;)u7no2}_ybCr0z;ifd)sWyAcobzW)pEsY^_;s($bSBv&-um9iWZF6ER(s$(XF{tz z@SQWRwHUR}{>qH!!DpawSxlPyR1d64waE&Re}{-4(HwJ>B@7cO$OE zgDC3&#nvxfwX!C*a>LS%D`RWAWALuKX64$onNAYkcQe+kg-)wVu^x33$t#6-7G&u3?7o0!$7+ zFmwe@kE1B?Or%d?*QR+ZV_gtF(`mI1mU)R};*J)68ei}jh|Mg9Ep$ObF zkXPC%_Rt$c1CZ_r1Wvz`ZVxc+opcP_JLwpy_1e%dnX;k-ko#= zygTU#cz4nf@b09$5aM}v(p?PT|K6Q+i^0vila6;aoc&I^+aZp3CmjLrPC5eKopc2L zfjj9oBA@r&NykE(JLwp-cha%A{qLlUAub&01yU9plVZ#4hp}u*iS=%(<0-ZbNUJUoPNS_lG5p?7yk!lXF`R$mO&;r z;v#+mLV+#@aW0zz6?~ebg)p<~zZN+BsNRnQukcCq0#(A`7ci+ABwOJnWR6|m!5<>E zWLdNbyZ2|zfQM;@#7;}pGQkj_Ov9Eu;2+gM9XE}%VE;}}fLP6~qt z`9^H-4?S4~;UTaZB>S7=X9GuF=nP|5S5rF;VGvYipasY&-a^P=gEz$=6E(h!+ zc*7EKI%+sA)|{{n0#?|J$6SCy^nECaASzeBLHWoptRRms0eN;uQd0T}vQ5WgGy9Zj ztF_?&Hb@~Y*Mh@eGx5~Da>oeK17IR14H`+xRFqbxp>U1a^QOXKLqkEfblS9RlO|r+ zcKX=f;UNjz{YFAm6^;}skMC|D$&b=WcKJzO*Cc1~53eTtPyD+Gv*w}j)e-Uf3>*3Z z^=US^oXr%)DbF>v%4FVF2kGG+&i~p{V^(kLG zU$|!R&-k*;1}`aY!k6VvD8xGk>El3fDmcx}I7|y)!D(*Bmn6t(ZbZ&(SK5hPqdDDTU~+QeT&R`16BW-7Jwj!25;)lm5aY+AC<3Mt{|f1Gnq)L++<)*IT{m?U!F}IR z1lNBQ!Tq442*K1*gy0{RQNYL`RA$h36d~Am52E#yCFnbf5cC~I2>Om91bs&lg1(~& zLElk?p#LaB(0>#m7*NbkPg#QgqXPG6d~w8iV*Z4MF{$jA_VOpA1pP-5g8rij!L*|YL30!#m^z9O^c_VA`i>$5 zKhL)LCyyee9YP4DuF(zo4k3in4`X| zf<_M@j+|l0Ve~-nR-VWtB{%2FtvtEy83+mIv{3ueLTsdcuE9VSy^*Mt)o->Gi!@UPca^@h9XA2_FyI*01K4)+rg7%yN zndx%|(NbOqDd!BLzI%J4zI%J4zI%J4zI%J4)jvns^*Mv6xwp3fHc~r~M=#n0#2v z$h>eiSm=9uGkxa_GSki(WG=WZ1Y%yeka;oBTj+BJnZA2_GZ(Tj`kXASZ#(|2!grtjX~%;hu$`kX3^G@-C`q&=>toPrSbuezN~ew@e()*ifjUj}!Mxx`{hF6T;hqlEr`}+(mO6%L zepK%auqYC`TGIV$2|%H(BW=l2fM0?+SM>z105~*eto=pEVVGZbY7pQ zy2vhRxTQpY(i2_UD`&YCeHDe9K~O|rP4EtaBKjJFKOrchf0&{VSz$$AE21wtyXeuo zS>t2=G10jZrFM|r62t8j!u+V-OEEeZi#OK}s@HO4UnDiRgDFn~9PtzCz>P}*!jE_4 zi6MY)BD45PD9JNE`DO!!>Y#-i3*p%IxToL*q8F%fwlZVMxk={kEJd9{pJ^`S2-ru! zKo+PWXUJBB)x%QX20KO)d{po6kfv4TVXDeB2%=Tx2y1i;z@bYF(OEUM$%yuWdin^9 zd|YbG@Y$^MM&_SEZR*UAGxO)X%%5ZCfi5g>q>jE#@;Lx?1-?K!RA9+LpJclc=j%th z;?C(OU0{!NBj~Bt#n`KcgH)eX+?6MFaLTw7 zs?Hauz?c!E#v{K8=gW`oSAgCr<31)9cx2dNNFqwpsNM`{Q-!EXQ7Dw}WiYkYNW`dP9-*DQS2j&;4Mp#U#pqGFzRrVGFRr?K=t|@EtY!$uF z)Qx_|;J26}tq0rcdsy`4>VEvg#llt2{G_CQ7?M*B_8e#b!4C#b$zelI)54#Pkc^ED z_O3n6PDzs?yc&dGHmQ+zq{6p*yXNV{nhg@wq@>M9@ym3I!8HR|kcdT5Snu)FrzW1Ri4J3;4`e2n0F1#%rCxB{_4^L`V4zKi&PS$6z22eXWm zwTKPq6-Yp@KmvLN5>Vk|0i>n9$RiL5l|ZlE7hz=deV;Dx!P57Ag7FA&b2}MhRv@nb z>d*UiUeAK9yB}W}4PQr0#+?YBjJz=s|78C(;#-VFe2bBYZ!r?_Ek+`~#Yn`r7>W26 zBN5+XB;s3)MEr}9h<`B>@h?UqLA)o??w>}&a#g3^KaKboBN6{%B;sF;MEr}9h<`B> zDU=I2_5Nw3NPP_X=>5}(e=!pAFGeE%#Yn`z7>W27Bau4QgbLR0^oaNuBaueMS~vTr z5wjSH^sFjT=Udy97#=IqPUT6`Wl6N0Db32` z2AV!onpMD;j_5O`S%VnXXG*h*7}jS>vxfc~!um{U)-ZHydABC9go7ym|zkyoXW8DldW(2b|pBBVMCa{Dml^*o*VFfm-G5Km<@Wpe8g* zbumcu>e0b*p=l9OehHHk!0q0kGW*FBfKs6MlNl^@2C?{qxkIA%b9t*)5<|8%_fvI- ztnbE~q(8$Xr)VK~tNARn+D)`>4=z~74Ck|4&S3uNrVa|)37`$d9c%}eAz|opI*Cid zQ1M|u_$KgmW`Z!J$5EnQ&agAIQ^Q1i-Fyr~!!wZqA|$+3hbdq2<(IFsh{MsIPGGni z0eoi=Mb@vJ88ieD`F!n}TSYnCcEAPqVQ6%#So{JWs?$k@PW~InIavBI;@!=}%SC3v zQqmQylO!@O*d(!(z!||`7S%dyX=C1y8^P!_QtsJV$874>0Q@bhY#kTJUjbNh7FsX@2cuKYu+{;d1LL-0U0+N`Og>`F*6XGQ-t~xOElCOJqf%mzh)^BvhDpBU{uUO zQUl6by%2#t2-NhNjHyTb90Xc|Xli+F|Fk+%RUR=EZ)*|oRtc#m=k}y9U_I?%sB*VK z3=fg|l-SYiM3%#yLO|i3K##8IMbe{?sp1|4^42481cA>m@B{+CLZJFv2y}h~jmbXy zs>xsp>-CGH$YVRA_aME3^SSRL;MCMCNJ>7?UilmZUV>Su*pcS%n>=ZqPDE&i-`Z>fY5Wk>> z4A%2iJL*zeWprg4`4M46tB2bRw2Kbkz#gosA@(L{o(wm)v^56R>i3j1GRU-}&Z$$tDMG8?lOt#<&{s5FNu|HhOD zky1`IK4daJ#TI`42ADHZ;el7<^aJBWk7og@S#oKT+`M06uCTZtMQUyTRISO~hUSAc z*-f^TgK6ahMh>IOCc2!a{xOr3TL2Ni{SvZm3Za@S{(`i7k$1&^A@CvtKSSUR1S*dk zk}4D!8bm`gSF=2a9M70!tFY>O+#^qMExg8XoyY*WUWPzrDKS0(QSAco;G4l7y|4U40gY+aKu9&lSF;+P;QxwfNyYUd=dGS z7J*#-;V!7dMLhstCHO}+`XdDA5`2?G$I@$|A?)STOu3G*f*mygBad|R`+y+b{5J?V z%`9V~DWla>HC%CEmO|!S2eHcyLV6*4KBkKfZ|t?ET+<;3-}ixW04tZPP5Oi$U-BI` z`HUr>aMG9jh)qsWpEk+Vw^wvBR#aj`PI^2dKBauyB54!byvNE>Q}`BpsE}{#uAqPxgFFs%|@6)lTFy-)%H)(ee;6;!oPo%(N^|Vlf4~fB(|K>o!3lC z1M*a-S2Dx(h^|Hji-VhGn_gGfzxdD203vmkc-`dXy?%|?d~lEZXWhn$2%3pqS#5;X@*UXEFfLG$&mP_20TJ@(_QGTA=dq+3Rqx z6&fSxr2((SPPUTpN2SoRPRqQhPB8LzCb1dtI>)rr4Rwww299W zF4xqAE4T16OfD%p6)ozGfG1%XUntn)*`JRY8P8BbE>#y{qAQ*Gqg$cK?97#~8w}Ht z-OMQg4nUc_kFX}TD2aUFnIzwEO9uU9vDvpNN{d~p33nPC&gDLbbT-Y6_=c}QAO6i# zEg0i`IKK3qis?}ii7!N9-LE~Y(}k^m+0e-_d@tq+!E-hYo*-Z23)z; zaG)5zEVKw_8ZhD?s9W#W~v8C|5< zSH%5br)6*^ykz33_D53j<4zh@cObs5YB4CmZQ zl>A=uPD{JZDx2Zzf3cSg_4hYOl^db7W?sMAXPRSgmepZVxJ~6 zTr85uFCeT)v{{&?~acA(tg*<rgrT36qAV)EH9*+t0$vLH_rhI#5aJQ%g z1B5%Ap&8s1Iu}oHC`0F2H=>+hsPk?_1fKyHs`Cazc0L1EsF`-l-gy=U3_A0Xr`emo z5!rEXzRlF&QK z{yC`La09ASC;mIsA>4;DYB2W(fR90V4PFi~qkx=-k<)&F2s^fZ+o!8j`1S zQS88A#~m;+>)fpK3s76-PFB_=q@%Gl&DX`Ot z7Iuc!Aty?u(TFr0NJda>T{ihBMtEF9DfE$sr<9;%h))Qtb5e}rsf=z`L-SOAMzZKr zQ$?!M5vs9ZuDWPQXVyX~N?FKhEC`_8${B!Rxa9`iI0Gb@hFfn?PM~PtR0uzcbmU~| z5_KlRV_nrmPQV>40kEvLyjav405~{C#T>0;20kWNyY*+)l0#ed?1i)(CzZ4bvP)M^0jM4`W14@y@;+pPzu^bgyCs3WH+9WhN?ho<^B zb!1qInBeeK!x3cvx7rGDgi*pQYUD_t8cLVJSfu-H%yu#irM?bZYSpyNrc=4f{c6XE z3SXWmOeOLZ5tt`_T+#}J<)cqhpjD;Rb#$s*SErD)V%BIas!eGYtsm799p0~@?DGBy z=x0MJ;(xH(RYEp9y7;tAdU~GKvV3oN)8mU@Neiu#4oX+W!Rbn6wGTgB=_cV2Rz&R^ zYHX=*l%STe5oMI%2xH6=G%RI!IZ#mn@Y|SGI%t`HNGVB4gkPdkZ3{h1DGhcdv!E(v z?;8zdIH6WU!?dDSQe!!;j?$(v(O;#jtfpcJ@o92(O6at{Rg;pO`P7<%!YmT3O9@O% zR__Cm+K?&%Q#p$GdfphT`0NE^`*)cp+q2F|^@4FeBx&UF)`~aVLb0+Zq&mw)J=|i{ z;Be65H8)kK%^#3In0nW#Z-v_r}~A&fMRI9ab%jm%S}%K z?6m*jprSjxe_HrIYLxiLx~so`7TEq-=y|Jd>0nG&YY9jPVhlfqR0PSXq9 zZ%y0!W`xo`LI(}Mf z6{d)&fP;J>I1D!JVRUOq%7zzNO~s(<>ls+JrMhTZM#EFuT&#nim^zf!e1tC#WI58b zy2(=dre8->ebXvyX^H{H*8ZAXZUtqR;sj0>m3B2ynWhOGZqp*58i}+BnU7VaMTMwQ zqf=4;gC}5S-tRNc((7{V+$+y-%=4`=FYw`uF(^z|$M?ncOI;1ZUfZ|BpAKWX9p28szp@z0@+nYoIh6Sdg+`SfSDe4$?~hz9j;c zbV$n9$jVgPhSUy{Z$T;>Qht@Ni;VOoLrNE5*!&`<*+M)2ur|(68IY?7J4&MjTt8T4 z2I#YPRJSr8GC*0f`pqS@(<~@#CxDIf^{RxVgRfCU)A2Cj=+`x%lA2LXN=<9+yd4t@ znsVQ|0cvN~1yD!dz7v-;W31&K%K&zoCUp=6{l70cO5oK~j8|pqeH8mpWUUyzv1Zu^u zAiVve^i_n6D}R4;>H~%vUsq0TBM1GxI^Xnfy7D1;LK79d)Kko+B z+t@f(_)rS`)o4xR2$2HZiU9)aePwlF8o9dUX>E7u0angYL zwQH7b+PJJ1FHO_&fa_N+Uw#%w=h#fr8N5Hojn{6(y%c!aTitSq=xpp>vF55Vb*m}t z#_kQct!1nQRvE61eeL>{8#QV7nk!doLgB81 z;IZZ^9bC1t8&}DsFxHu{p@R~j(3j(09-!dk4_wYmWW4ChH+8RB>p>e$Ld&vEYu0wx zu30yU)cDCp=L9yF^V%GZziHhX2&Yr<`#|96=p?Zn_d$irA>A);i^0gg=(N-WcvOJX z&TH;lTkG8HnA;t5vv0sR{>9=I&E^9 z*Vg%CB;113FC(>YYs}qc{{PO2A?-hfa=#+Y>8-@O59wDj*OFp4H?}ffI>eg7N-AbL!l4Vk6zzG5m99#Y*EP?%0-kw~a_mo$jNm z1=*}&HbdI$M{R1Y$3`h)IvCT~>7E~R$JUj^>)oE1dmJS@ifr*8qLjr;XSq+QPIm+G zFD8Cfd)zIEwHC+Smw|dnwUclj%hAK+Xs7$dt+5N@C1{J1Iz;?gbtfwt%G?2U^<{G! z#!f=~kGC-4NT6kw69}qsEEov8-`&DG`)Z&o7;<-^E(7iqvioYF4#DTP#1K6>#s*F@ z`td-Wd&X%2d2kluUf$e^$gj90dww$!zT(y`0`M&Xo^U%6{=gImf zP=+X0eMuag|HX|Z-GQ<57P~J%7k<696FIiKi|feF{iWOPetPp>_pxBR+r_H*H;|F) z2`Sr7$^L|UgZss8UC8m)E$v7<>9(u_aPMXUFMz|3o%X9my3TOxRq3*-2s2LPdL1>g;xr<&PrE4+7n#aVo_F0=^b#=>f1`@ZJlwT+)i3 zTUpvPa!heUX#-MzBN}qIYt=XG?%men-VkVa2X(vOz8)vg3)8p=^ zRh@hHws!Y5r`>%-wYxvr((ZmZS5s! zh0a!Yk2KEfQmL;5*+=fXo>h4w2#MVz*VhGbQ-eB)K_%cU!&(CWDRycl8Sw!J1wyXkTMYsEO# zo^Tsut1B)5^J&cSd5n_iPK}kh@`cL4XHfK>@)PPks6BO-5_#c_7r6y-uO+m(Pl$ybPWYd}rS8&egiEG44 z*I!~aGbrrK8cL%m45zzxh8W%66>V(_FQ1}bnF)=ai=q2HKd@s zN~<2GOsBR|%7dzodi<&Y_ozA+!~(H+ZM*@qx)JesabiS0KA17#ue7zaz7>PSC$`nq zm(+vFZ=JfbGWQEG_WzDhJ*<1o{Rt+CpU3~6x>}^SxKClu^_1#z@89;Co2ffWn%q+s zuA*>mfee1N@ua)4eGWS99QU?u?PV7*t!z@<&2BG8BM5|YG@vdf!PdkRK$o`I7@J;# zc|i$ge+95QuKRK}d*VYI57d_d!t4=-gA?W~V?r?2F|>*Ez*(FRPi|=qDg+pjfD0%vcKm%IgU(H_D#%uGo^DdZrlGUHu8p24)?f?bc$oIHilW z3d^VP*pm2VBPW3dD@$niPn|Bv5AY%BRo_P^v*9BQpBt?e8;{6ke&z&yk@NnbnHy|%8kTyoI@>|?leQsXo*d131TFnLIIBmDiX9G)Lh zH^6A4`(jD!mULd}UK?v4)ex_rR8tC^C#29n!(y_t%Y7PMy(X0~wYu zObrv!q!@V`Cv6*vmbhT{ROEA17Ovk5?7e1{yRZ&Q=AK)J)yeuBkoKr7X$}VtxOJWG zv@Z7*rxUSzCAJSs*q#_A-78b|K1|cGg7$$3?~+B(dx5&00NxWVztL?ezrxMk%bL!O zLCe<1@Sop#u`+ipfVy~D#U(vcK=B=+coYlJvxTt|Mf|q~=Yzsub@wiGKfbxkeFJM~ z*L_Bi#lW9Kd!GtD?MCu}@UNROG)PT7i-oX@bv9RQ2)o0mK>@dmY`#V;^x*&1-up*K zb>(-0S1K9HHelJtw$hfN0AbVJAOx0e+%30DNWy{^P%0Hz?q>2-s(O;jl&Z>AC6L{u zt!XP6cAKP0EZee;EoO)A&Oxw^|xs9L$9|G@L#ZdtYR@m3nyFFd|F()1B6S?-Ch{Bq2?F1fj$ona$#o>p&cbeoe z#dGJA?$`y;q)rNBQvJJcVX==Ouc+#KF~EJlq3)r`W8Zi<(n9|q`Nos0X~67yEc!IX z)}F`LY^CAV7Fp63sT|p`@c^wNDcnZx?W7KVDAN3m*3E63T4J=k-n8=YCgOUCPG?8S zBO+^~54F4P8`ZJSpVI?U1ZWzkX+oy|MGUomxiv-|Esui!{F}Qd8uY5sd5|_5^>jD% z?|pRYVH6mg{v&sDZcW;eP4)46^$N^qYF`&hs&)22eNjDjP0tWB71iq{QzNm<(Td-s zpo5>foh{K#PslNKAo@g0>*Fu1{`?CR9BJAA1ohkM*5!RPC>pOnM%Wx0}g@*5J# zK);UeYRLI-LY@-2at+-k_e2SKtxfV*@>aNJ0gWM|-{;|T} zd83myRWDQiGUrFvJo{v1Rp*w-pMHINZ#fovQyEwd6QcM~Axiwml!& z+_o|D-LH49iu|X#w)V)fw#YZXKH9#5=CJO_5A{-R)t7om$D?Z1a=lI-)y*mq*J%ag zVcD)(#dE4op8qeG2ao?wG5&7dcG?TA>3aF)$daMRKlysJwW}-gM|Inuk9_;<+xu6n zT;EW?k>}v|>qejN{zs3kSs5AYjC8iilar6t2IxAi+Puo-X&;pEDqf%lUlknJ8i3nXoEczd0{B>GlGTfkw@f$^Uu`E=6|A-OdHGI`px>b&#k5S z{VQu^N9&EIJldk;t7yy0<*QfF{gKzAE1q1{vhvC0kFO%DJ^sWq&#q}%xpMi+m9%!I zNOId`3m=xFE47dFYm=HFF|xJ&ho*C?ZpfiJ1^SYX*VC)GLazpihTHu}9^ ziq^}UhXopE^Y*1o}79 zh#)_$lY7~^{(89(q3<^d`EJ5G(J-}q@e0u@h0q7L#fDXmZs;zJe%SC)q-V+FU2jp; z5gkl#<`YJ>HXvHp(%6TMAKkr0@-3RZtMBcG{(JxI$A9#Pmq>OO-R$n?axuPRCcj%O zKV3_w>pzTsw1O(w@?P`%k9NPceD?9TTi$uB=iSfD{lW*29DO*_Ur&;LbmdR}^oN)K z=#L@L)?dm$OaF25c~n_N$}fJn^rIzRq}SW`zH?9ayNz>qcfYsj{kyu~T0DE-mJb?^ zM&1Y!uk@AjqU&SySBS$Z7)p6Z>OOq%qX$$&n`ZCteRuKPeJ{Ru@B8g8on$aO=H)_~SoQXqN^_EQir3w3_^`t>7B*@<{>$v>+&ANO>7e~94O7*jKyQyK)H3azE zpVRym!{6TZVf{ySU5g)Y+D&y3uT;#0*HnnzWcd@FF;z}}T~2w;O zgT?hps`p3LgOAEfKaujrV=+QP%vAeHw-g6I*&-dKSlwXgW54{mYw7TZi$A(g^~1fh z_iTBu;r+%K14v4R2B4|(=$dof<6Ksic#-l9Rt5q=h{W zZqWTF`_&&jj#T?-oXR17Igd9VL`Wl_7&~0f7_Mgl&Y6PR9@DMxm&7c z+qH*i?Tr)%lQDT&M9ugQ#!)#(us?3O@5=_Oa?%b`j{Wm$RsPb;cA(fN$ARQOwL7ND z&#J$H_`O9A2GtX0#h@AYQX%RI@bsqZJzf5AqwLTfnw!FKjeyc3*8>}dA{|H5uJ!b1BsF>=XE%$BlAhpQuC*=q9 z<6>AHo>r}>sRNc2fm78>{d3NqfI$L+`-q|B|_V)G+I`KrW zbg41R)CaEjNq*-@#y}X6Y zuWdl5FY+14Y(A^)ARU)#kWJpYdk>EbZv>I@dIY)q<YtEUC>u-)Kpjw9N6 z%Gy3VckS$z2g8-ZWKIgz1Ae5(EvHM_Vx>?@%1GnTaHoejvw3G!4Q!D)(4FYku1ET7 zf8h``vLiJWPo?*{l|c$r?V-b8w})O2I9A@|wM&g!{Z>P}6otc=g)^Bar^rwVr%-hB zGzhb)GMcAjB}KxX-aXs8b@YnC#AN+sv6ry-q905yI!SE6^Ym&}^n@zf@f&BS>ORkfbt!j9e@ zq>3756?Q%hH+pbE4*EndtxR_Bj3;&_d%Kg`(P$V?7PYTA<#8G*(j{ooK>6x8tT0}02w1(VMDo)VyNSmFe4{mXl2w^9XWB?GGz6h3|ddg zZJ4%%+Q?-li)!63n@)sH%8dxf2uEYXS1AuWU!@@p>FFKpPHc-OcO_8N*jNs9sGHr9 z%1_b;rZQO~^Nnq96rg1WDJsPT-u5XZh`4e>81r4XtIN92Io#= zy`^VTy|T?!*6H2Z6W>Kiq8h z-mZkRwU_)j(JMxJxm&t;6PZ;Ss_m;)P`ZEh`0)80?RFd*e{vX(P|reYS!AnRMiiy{ zQpBN+haMa3OCkM$ZLK%X$_9we9^j$oPjHzZ^&~1>8xI>^ca`!I8n&BD66gG_o|V> z*YfFc^phNIYH=g|PWqbFTheoaV_dtr8Qs*vaiRQ94<5CcW98L|3~J%)bG=IUspzE2 z>1;Nj32jCDoUOF;CU;A?B)4q*+i%vco*r744Z;Av@ntnF`EtD1>F%e+6D@AWTy2Ih zo;@@mD8BJ2hC5Lxz2?x4TF&)`y?a}HTQ9{Ij2#&$)T6Ux+$UbPIq92EQ_RdxP$vgFOdsqSv+FrrwSZ17clLB8Xt$(H&WjYoi5~YRTg|6; z`;M#o!*fG5$vD{O$j(%KNE;|xy>K1y3|hEUbPv#>i&UFc$WzFZ8+$!faD%1w0C`yP zltxoqI$O-j1rw_?PRBSDTj>C|Tq)8rngx?zjnEkjA5xW+XDriJXxtm8p-^LQhO&X^}?JnM$GLDh1gBT!r*l*+#Wir(NIy7VpsF3TpK4kmlwMBOON4dRn)~sF~MR zrnQC)U*>TZJauyQbNa5#y&}?-(r}({SeOJnw>;h(wRpkP#80b`+@VYjxp<;w7xUJM zIAFz)T)=}&Y7q1qp?T!+^hup?jlK*ZzQ@QK04y3(1`qcl8uE~-ho&B)C#RWdR>QN@VdX^#=`$ZEetu%$fc;PU8Ih|nl^6kURWTyBY^~7| zFv%pXBXSv!5pbr85xL_YBjEWeM&vGgjDQPOjL5D1tkDs0oJm?oVSV5?2aVqh0A6|= zvRpuTij*}lj~twZ#tI-jMKdA?XCX#Fc#393?h<?}fqCTM zEF=*So}#Np4$dO0W*35|Xh!7VEW`-d=dEmV*x|<{7Tes_mIdfu_kBH1kCf18@9RxkMfh;8TW_07QdGmem?@r{)ZQ zCZ^cyL>92ZqQAQ4S^Gy-Zsq z-V}|Wd}bbb1UtzKeB0ther9D%RVjIauQ3gBVbDu#1<-GEzMaD-=m@JA98xwgCRXqw ze~is3dF|LJX*c+KBik6|k?&->s$i6@5SA<2$1ANPK`{{aQFfhq&0O9=P;M4WUptEQs2w>kxn6CFJp)>%z(4K{fg!vXLGa}hDE0N$0=gp8G$KnYSDRIFk zU>hQ-hWY;3tL8e93tA=z%N$=7Q)P)OXqntXz%n^l1|yFO1}&2dS|%5?OfG1dT+lMP zpk;DF%jANV$@z?;AYAnvuPY*=vG&LU)15{icpAjF&esRVsNfm3$xOEKWenGPqzn&> zaZYJ~0+tPF01)m@gXcz_-K~mX7QL}bv#G|Y;?7zm0H>(|@7?@>f_Iow=x@-TpN zDk3H+xThl8-EfHG(>YGbgP_A4`x}w$QnSoGXG+BZk1{Dj-)xvi=D5cfl#Zv|O(lo9 zL#7^!DHkpx5@nVA}_SZ6CCnE-r8bFPnzO z0k0WS67V*Yq7FF49e`*G^Z72}Cm`&0ZwZ6?Z3^YtSh-&ex;u*Jdw2Fd%aFg`TA zlUqp+I8}(LiUZ>brj0W%$vht>6Wx5Rc6VRlOCHNUyRi$*a+_1q>~bxi15cwlg8Iwf zUTX`ad+7D)|81D`W|q2^Q_{HR0PSNQoITuW;2&q+ zQ=F3BLtBTYoZzMq-~Sz^=V`uv&g0wo*$`{p;OnAggA98cR);f?z z0XH*A-ua2oBZuEOpnH(IMDTBvNwkgvTx-OS0Yad@v4or_ek>?hQ(iFQ6M*ZC_*VcS zNQzGcdJeK7piT?|LIB+!0fcf=)?kPwvJhvd6(-2_74TuE)rrgzhWH*Ukx&)XCz34` zc?k3iKg$>EL>lm%Aq@h0^`(RLrJ3I(J&gK{pg160h3@VJ1-V87gDaf2o8HO+qBMDA zKVS7M5I7rwMtfNxjpfVF*|kv~7MkH}BpvXCA>}SGyktmmz?+7YgN9HqWape@%`2Re zT3`GEOYkSSewg`a$ew;RrZ@tQdW?B4a7s-8yj`z_QeOjhL^MecS>!%$FpPjVn4}qz zqZg8>4@m^1cNeOUX4KgG3+*l&?FIm$5*<U>>a}K%_BcQ(-!F$eV#%a@x1YolZ5mxnExZm{aAmBMe8okKyiXjaGdPW$9qA)&9iGX4KaLx?F zz|5KCdd5wC@q-L!JkoWBH$75?Z8U9c6+gl7V~@0qYmOQX;@25sB&o9!WNvzV^olU` ziFzKR3Ep3r`8tmt3VZv*!XCQetWn>Eojs$vL8H3p{j)|b*Q;Hl%`9`s$Q}pG7}6`3 z7~U`>7tqt-6o_&_^>Ocf?2>0ECuQ7SOM7Z~|cw0yWX;2_UMGl_utq zJ8ddW0HP-93P69Q!3hMF!Zb3x0S_DbgQ#+rNsX4YR0NZ_?>FLgYK%sOMl0W=7`5qgX`#e$FcDggG3lYuO+Lo!2_ainUn&Mm%1 zRbHq^W`${a0&s>&iZ5u6jNP5*SW9@3tP|MNHW$>^^xGV3CQAaJF@5<8;3d<5|M87^ zWUhI9L0xmUt|8miVOBrGDaE!Xmf2!t$J-ftwvH#6wAbT0#qhL8vNgcz396HAhEVjB zzt_+kG}>%Y#^;QiJQti8_!_E}qCZUzJrJ`HJOFRuh_IH^`$SPbc)01a^65hmvDmE_ z8%=<$u=iIL0P&ym#e2n}yJzdPFk8Q)T;p+0X7>3uwKh{&XMhKhoZJx*vT6IKEAjrGH_tj#3OwtWNBawMFEW*upMVe+?pP29t}v_EJY?RLf#!h;)lvYv%pL4m zYKGN2!YM7rUkfZ|i2WpAKkf1TAdC;!&v|@*9ma?27d^g~fc2@~8+`qy$JZUkhjqaV zW?GK}E^E}y)$0_m=^DkjK*lQwc*T&$KCP7OBow=7ilqTBF)1S6q)^QnPkh=I4^fAV zsLX7jRAA;=#|_=gDJ`Y|I}K?7aIYby0W*daFmW?qf_z*)+QlM?q%yPji z1$d1~x~Gu4=`q@NI?9(Wb4sD`Y^_kZ_#R!ll~b~gEe`oFGN51s2xIeNml-M^Bj6O1 z!o7_znqPpXC2$ARaQI87E`a_@2j-C*Hcy+Z=;+gstrLoQ zEXD&+S$M}n+rvhsIN%(U!VBW+550nbjb;g!0X$?#={bfKj_KsjQw*mK$pt)QNO8b9 zL&^YRxj?c2PkCH`=L{)e_AA_qt6pmW(FBs+!K!zf*2DpGhUB7w=RDFShK)u87jUs5 z#R1WFa!|Axs}~yCfM|PYo72HI2b}!|x7BN4e3+H-G>F5VCp|qO`>aP|Q6@Rhmlix0 zz~+eN3U@0Mylx5(0RCTwvzXN;0E7Tq-2nP!1rK>33*2<063}08FtD1r z!IdxLW>XIWK59sTCvPEhvtfw?=I&@e6zntw2LN9%q&b! zD`-E+0=K-;%lh~W2JIJPu5qJ%9B`i@1?*SkOVN%mqc!vxBCO^ zHzXGj+ehkGz>hsHK;KRha51k8Ne{q2CTTs8t9Xon2Ms9>hy`)TtOjE&7-I|oHW*`M z0MUG@#K1gq-hGDwz;@Hj3?Pi6%Odwx!#DtV)Q~cO#|&uz5Z0r6VSr~mF2Dst$^h>5 z8FFAAxuUUJ1`r0-3M1#8BMkt0<_*m1Fz5`eHCaRHw5xB$_7;sSirm@EP4St4!1J%e>D6Yfwio}Qm&8nlzN zvrI$9@|eMv)y$^rG$xD#4ja-dMTUnADGqqkkX`|V?P;t+MVPiuyaMOBWh@g1ylqIY z019K7I3NZr$pRemxZrYSj|2#7Q$gDd*z2S1(p12(gWS^qPnlNl14OGO8!(zL#R0<< zk`EX*k$k|g0DavV5Y3fEfze)B6c`Pae8BK0$!E9zFt_G7r?gKxb|9v>0=#>Ls1VfRw)B9^^dz5)G9EtaQE#76U zeg~(-Tl0qIA?Eo5ugQSJW>cO9#6DdHqxd{>Cp<>L1tw`mfc}EP)ya9T{JK#hj#~mz zW1Kkbb;BdUG7ZKu@jwHadyZ2&;`+S{kX^XmtlNo`TA8(@8m{JtkybDJ-~J5 z93l(2nMv9^klTB&RyO3E6XLs#_yNGE5uXkU3V2UYPy&LW90gTC2$Xsz=8^OC3`F0c z_(6!DF{)<(AyA5^#}m0BNzthw-oYIUAxYpD+hWgOZkbAo)SO5%MFE$xtpXX~4@ym7d$lNwHx`0hwqv8wN+qIPk zzh{{oAT*}-1O;VmL9jX;kVf>>R7`ONIus2&WAu*$E*Mg7F*gM&`t&$s?23alqfU-5 zp)aWvy0gjjVI1AL*&`VV4D6n#2E}SMXqd&!dg1`57HfHQvkmYNlXQqeu5pQBv{ixl zIU{}maE4{kjfv@cWFV4=Hv}?}uQ+SfP%$+m01ui5yaEW3I(8w43PM(nXuxk9@q>WS znbcaSLk1#=csZ;A3w(ynVq7tG zj-o9$J(8!kMjd>q&#=U=FudWB0Do#o!S5ZhN*^0L$D3KBVNPj0oMr)MjG*{=hL=5( ztz@eus9qEsAv9-%@3DnTHdKUK>ck#fdXgo0lG1?RG{Oe~=a@wOcews2XO4S(P{OM) zFk3e;_cGJsG~lC#G;oL^x+7%K2thGZeFm`42y!9F)5rz%WM=?9UkL>4D_o6w!4g@K z!cy~VF=fs`oIS?%!yL3~>&79wzXLFGdrSz510FG?QNW{2(&5W5M`Fm@Yzhtl&KlAf zpkG$-&=0cKnt}HSwHfaTDxdeEeN!tIAi#=c%ZIvPZk`X@uc#LIH zAh}+L3`7#~=+}{fe8pL-hRddg1R%=kxza8;yi`+WIF$zvz&TI1g*vEl#!p1%EEB1_ zE;C&4^WEZ1Bio4D5S&5#?$@dmIaOyRjG+q6f_4QV6XRZPjKe7%5dxxZ{eh;x*Keb8*Ghxi-n*kD9Qn%Kf*R} za0qxi#f-k!W2!-cISZD)$rdNx6Sa83)4;|g-ix((VVXHl?szR;xD9lnhm8JN@QE+f z+V0hUwU)$A=D{GNNcjGg-J_I0!hFX(nbTjZVX2$UbKB#ctHleR#oU2psZ+Ihud_VJ zr`8`1KArY4bAg+<4h6Z+bA6XMrFO4+Eu`HT#TgUDqoP^Um)F6q7C9x`bbHP;vvIX%KDX$5%HBQY|`F-HFjr&Q`J!wZI#2E1rUallK4 zlm`5fA;t0d$~BL~$Rwv3o$*)zQEkXFc!9Z}G|#fc0UI0iH7eD@u*V~DIg{LA0XI37 zQx@=E^L$1IFvBEzK-8Tta^|4N2RP&B!(+f7`-$f`bJ0&kW-5X+fS0JnBwPbc{(SIVBRFHNvy|lEnI7HtmQ* zqgK;paln`%W$e~AaWzm@8VMMyTUwt0qSNVM^o@CByau{Z0yT!MV{)u>g;P0}fzf9~ zd&5>W&Z<^8rP(+B^_b!ctbgE$p1dyw`o3O#DNqj2rX*Q6S;reS>VSSL7*MwWMwt{I z22rrZ6dVAA0J_fw=$94T+(TBgk(B_10NTd_`eg+-_qZDJ$YCdo4{s0(Ze}jJ;|J); zN&@<21seoe9Y$6H5CZ7n3(zm?=V{PjSi#^-4kgwZC6a&;K+j15`jtq|a}kro7=4vf zaudM4ObWLu3J#fq1Aq`fZUX3+6|^d3C5@~EAOw(A0sXRqR)s8ZlYasF3kI!s@78JMZbs(_f`WY}V^8=PuGBNsCvHn0YS_!%xp9u0WSkkWtQ_F&e}H1uq=J?T3Q5O~C;`2q4t~ z{j!3p(Y0P84y5?!N3CZ&`q1oz+SO{r=t8a2GU6wUNxi);B_Wx10%QCIBN!Qg&`#Xqf82S zd=@NLn@R@&5$GtS0-|OqYhWI^Wu{UW&|hiD2;s_56Yb9cQ4_730Z~m#R=T>5p824E ztEcfH!hkQger=t2Xoc?-Rc)Hn!6Dz@L(TezyKs#Lw10_A{|9lwUmVbY?p8o!S+OJym|9`5zqitXl3}4!W1H$kA+jRsaVr>5Rj+mZ? zlkz|?Ns-YEr*caRe2!@}3=Kbn3!Gl!RGz9Ij;Tywi-2x;${VMEoraVK>|v6Q;>Zno zj9jBhI`~r3umrS4=|dh1-~~e(1-!&0tpRe^Jw{VC1Bjn8ECYbY3@HtGoJoyzFtB+| zQ9L;h%6X3&5Vcbm0D6j~YbkQV2uc8c&yWTHAxO3}xDP_3z)kxgKqyD+2te45rcglW zE5#4aBZo>u)*uyD@errd6@iZ!^#kh?o8>Ng{JA1lp#+S3wvM*?{sO1uYg4}#Q%r$&!TvqQzQMMG=MI7N5EnnpDFuzI zY=axd7IDCz8d5;_qby+F2pX_jC%|&du#DL(SNPI`VM${??J?uR{4Q{k$0jXJiVU${ z2wAOM4agn{${xS~@njDKWe1v;WaXxek^^ww&BkT}SaEcia2yac&H^x0$OYPu)NldR zkl`AL_w2W7@M7(dGgZd{k2LCDAd>+eW0G8d#OINlHD7{A+x-Udhm80Ez*!@H6c7TX z_<<1dqqW2zG2#<|b4L6apkI6;!9+5urTS1MvZ|O z!+t}G0~QQv07~MkDXLCn9x|35XlB@ANO8a^CaI#x9Q6368KU1p=L)nPKUGA(y44kY ztO{R=LDlsl7KlhUxN!|egFukz;Y&k?WjA1fNq37kTV@-+uqGfLWizI15)ftYSJV5O z&9ex1ix=j(ATJ-neGJ1<`(Soc)qQTi>Is z=P^!?a|->Z`SqhSoZ2rvg+6nub-Qf&Q{28ooZ+kVvZ->UugyC!XY zZ2Q{nYk5rTkCz|f1$O8^n}3@5@hZ>5oIk=TUW15N58~~2=>HRJ=aZbC;`C!qPjiab z3flGC@7}Xtya#_ny>|I^%XNF(IgN4J&#BE1zRi3cFQ~K2;e~Z}dAy|V5SKs9DPCG< z^IzucS2(@K=>n(r3+n9hSFLh4IFFarpwB5obA{8ZoL=M9eixfv9`Ej2yGH9}zo*OQpJBd3 zoZ9c|vibM29fmoz-`8dHpXPaW`tw?!7|$2A`nQJ#^m97I>0VCl1bkT1Ofkb1o00Rl zA9A8j6V7vrTRsb%$4wqvObZ{j;SBE#=g)F_j?>$m;xGg!9>;m7eS=e5{#q{A!Rauk zoFOhZQ=|McRw&M?-G4=;svIFDcNvFrP^ayS#bS)=}bJ{dX4Db9l~aQ-5v_+@3g{wVu( zE2rx?ZRhkbr$;%p%cI;{zK-#R=j}26FV!d?XTLhk=@CwG!ie9*!Vg~I-W~ea*5^Ez zzrg85PEp?Gzrc1~;1u_8I@xYEKMs_RH|i^=IK|P;X+A$V!zs=cu5sR$hu^6=#@BIP zu$T9~Hh&Y-n>lUaG|K5(PCGcY%ijc@e{aD2%)w&Ki61n;PZikZ@f~@5VZVdF8jT+V zh;hD$Q~P`6w!9wx-ey0iaZZOgwZCI(m(MWYIHx&Ii=0+Co#GVV0lmukYn(1{itmQv zJE(Sjkc01?f)C#swfWDn+{>JPTD^Apz5IR5VNUIDlG^;>%P{{nP8T@E_d0KI9^VGV zH%V=IkTcHw;KMggZGL=z^fdFI<@6k<7dXAd=@m|)r>&PQ|8FbTZnrHj&hz0gr$tWj zWQ)xYz6|q?Tjg$YUhsTnm&fg6taB>NH^u1;r?{tTmp{scW1M1raGvuQIK9MaAYuI) zIbF|B9H%jVW8SuJoY#^!#CH2M z{=Lix`)4>`yLJ{@_d4J*y{?c8|?b7vmRLQV4c&*>#@b0 zws2~fpJMt!PNz9N%qi9-wbm2hn`8c?oF3!Ut`Bxt;OqECVh_*vunTVV71>VccUxYP z%i$Iu9_pE4`XNrSE}7*#`T=&2vHg2EjdPmhbcoYoPT_a$JnzS9%>P&q|JUkgxA*U= zuPtw!^~P<-8O~!}c$o9J5oMRhy@GyTC&W2TayrB*?j_je@05?w>Aa|q1y~{!EL%e9 z5z!e+ZxF+w^t;6QhM2HF5wS=dx-X1=x7c)l7`;)P52fED8k@rS?-g^Q^!vn#hr{?6 zi?gBhB?9LK!uN@YrQ+aln4J5?kx+V*nByBfJ~0$Z9uOBl?DCToj)(`v; znOF#=KP)cKhsl3LEQHb@6{r4j7=N?4csz{$3jy6FAtF9ggZ@|zdP@!Z<2C5ZYtUEJ zphs)aR|e>HL}?H|`NY1^qX@xq@uH$H5%zhK=3gRaKO3tOrRhOG*-l(x^+NtBOU|zn zf4x{DK*za<<`4S0rU(7@{X5ospZM4s7mzb!`T39UC})YlIUKR6&o%lh^#^@elg0nZ z^ybgU6lI+0u!B7wVb57hA5DK$oVEP9o;tWrc=W6Fx&p{)O6hvJTA}I9Lhv_cs_Dx` z69}B%P4dI~@j+E@aQvcO=dJ!-LHyD_HeKsOo4L=$s%nOuMvK0Z<=Aw{iCO%b{-`)@ z*$wjhEdCCbZ_~G{_BM-K95*PdK3aY-zP+sYgY;>ZKV{Vm{ZEC`gK_n+k{_gNIi8*{ z>TeT&SU5 zS*pJKMSHng4)l?B^?!cyDf(P}u3FCj!}WrWb8pxoI$6!Xh{jo+xL<%y9;`miOmAm? z-hT49dsLjW=AF+eevjV3a!~KERj;Nui?!DH{i2fN(IICW)7LRS=)0NTZqZXr@382v zGJUf}{|3|XE6R}nEvCnqzp<)a%f&6a?*F_``8)aN>He6Xo$YzQSoo!I`i-xJ(P^IL z^*Drm=Tqtx17ULR7bo7Rrpx;m(jP#_IsLz2x|r7d(?WlAKi)5v)Z1pOyU zjz@5qXSu**c#v}s@!!b~eNJ_IA!mWlKOyJAPmv>rtL5BXBc5pa z!S(QR)vh32^9Se6b&5Yom->daSDzO=5Lj1lQ*wgq=LFG3$okw>{K0j#o)?1qh_4a< zgHn|B`87pfB2IiYrmpl*f6LE@OrK(VHfXZ=r#0mKehs?3?-o|?|4#G=X#I1N{TA|n zs`!_Pp&c<*qqe&ccM(IFoCk;=W!?PAzoU2&CHeL~VmA?;h%YMnw0@4olo*^} z?IijG#2>Zd;Y*56>o-gP6!Swq#!E%X_iLze2c3IJeTpm}9P&J)iS&mY(7(m?p0esa zRzv>pC_1grcgK{7Sr+uKYw-Um%Rgbs|36sH&=<6vD9ibY;%^q0nIG+Kpmv4z*BV7% zBF;Xm*Wj-(e|#{e^uYP&uhigww+8*U zYS6z|gD&q!h1usy4f-cF=#P`1OaHuT*}t9WVe+@tppVs{&nWqiiZhl!{JP>_B5rkR zyCs$Ngg911&c`+A@;;mNb9`Bf4zwg4N!?sv-ZOyTk3UM$wmusO2Y{ zn2vcl%Kq6+bQ!OXS$24t`33t=l=Ylodi?pAqAVl-mY+9?9#yFN&u=jQa3ZEI9OTQ# zh%VcE!)osXblw)$zu%Yq^}&794_JPzN6SCKab7+_A13FoYS0^LfIlergPXOS4D0zA z)1z#Mbxdz(`odPtkNDF=^ap6&g>xzjBkD8A{3qD2;!Gb_bk6va12yP}l>A4XriT0nXx$UmFRK-OiMY`f zQxb6BM1Bz|Y#w>4hMfP%<127p#PYGPJj(vRhv;GQf3b%A3d>o`^LRVA_aCwxdtUq1 z8gk_QZ|QG0{()}q5bN_jrsEuDZIiA*-d_*1+ePMY=lx@a_4zT=qhHqY=UDz9VfC&ix{MpAEkAii(VIo1wXXOw%b((Tb{&sXr-uA}HRuOw z&}VDVzf*($eMMg)u5w(8a(f?C_Th{#IbTE0|3-9_^|6bevi#{6V~ULXN%zom2r}+9 zS@wB^=+Ymics;Y3g{@?MoR1-%d{*)MYt*=l`I{~Ii5mQQMPDM0u|MzSc>WEh_w9)( z!NW99%g+%hpW?9X=ko0pVSe&Yi5^uYtN;8#4gNo=LH`Ryr~3s~fBg;9qw8a;0^HAg zRoOpyPTRCNT+a*{HcWr9VX|D>kjsv27)z%&q*A5y_%j{rbJ_gl)cUdfhCHjOM=p=T+TV*ruI3b`M;~YJjt6#W%F%mG4AGyqL?a`U1uUy zNsl}GQ@KgEjVP72jiOMjlm)e%q7aMHO# z-YpYHrr?a_3L~kUlc^L+WhXT`CDMh7V$Q9&nYPXC9h<|MoY8DP>!eDh)N78LuasUB zqove@>trS;CSIc=zMMmxl`7WE=%kY?q%x$Vgp-wQjvz_QI2pH`E@g|POIx~-FIS4C zLb0t~P%`2=L>bMFO_oxXY#}d;mR&7E+QXel7iG;`ckk>@ZriofaoV1F>X}V8<=J+T zOO-2*TS}GPj8jaFxmuEw%4AAzxy-rILMe?aIXAT*crs7ARNQoh`6g23vKGdKoSPr3 zj0@7o$)#Q^Od3XaLMuyuy9ZxNcK5Yy+9b<3%$xgU3yIG7(j!N*1cy%&c+E=FXZf3Ml)*N$!7#O1p}N|q|Zd9i=jmacwh*Ve6ry-6q8wWYt;k!>a0 z$6_z`Z`;!CY--#1EVrvra#dqU6b;O@lP*sx#}Va9sZyBCRTUu`*{+J@PGu`q1nu=? zhs-4Pz-Y;Jow7SNK^{mIlq-U2nJkYug`%4m&bH(Z@+Y``a!0px`lK?a6 zAw9{-G8?@KBa%DP^i$zljDq}lFlToRHaVYt?VbeSJ50dld7b++u9KtrqZsH%2minl}a{0#^WgC?$4&x@RwE~xnu(_T5`$R$rz@%vczOjnnp-xtEx}7 zAT?4bRh&w}$!4UV>JE?^WZaR-F|tE0S4dZtVjhY?WtUoE^*I?JpPs0;0GAjesdSkd z;)@ebs+`Vd;bFQESSP7Eprl2w?+;qZ`8sF6THDz-ErVchSRjQL7w_@dZ;eb=7l}UEW zp>8J6a|x2qW%KA;n%h*|kiABsibkhwwv!!&M-3P;14c-H z^IlQ3^cAvoS*}qP z8)qE4M$>Cb7GPA&RYaR|qqd4WMOdz?=~_W8A==z=y^hvAdI_z0fL}?IP?DFL$fl_> zTCd1IZAz||L1R+TQhGwg&^9$EQ{hyeW*sUir)YAr(E@O4WTfQoM~-$+F0fkBBSYo_ zq}d&i#a8bh|7&yd2`PCL!p9E;Vw9r~6XbROhmTDRX*D4_LIk^cj<1EwM-8V2*sp`U zk$}HEp7-nI@~vRx)Gpsc^uPM#6a3DVc`k66%j0`wyj>S`3|IBpNjcgw8~yS8;CZg- zES;apk4$9SQNCFc=_B8GDUS%aI`f?2At{tT-{tcIozpUaW%`Ts{}Z47cph=n{hI5D zP6YHvJxKS_^}q3z$8(G4xqOVvOZ{aT=+9*FIsw^K$iwrEjeO2#*N^gO{|uMk!UFLe zrLq{~FPxUD?d$dC3zG6Olg4bYlK;x0w9@K*(SI?87m2?JSp{w(QSp z(HW=y%u?bnk8{QtKWfG8@hyDpPm05Vv}D@ftm1p=*16pdAmM4|9xVUu7>h>j`Z@+ zS^nQrS*btD<9XAoKWF)WPi3X=pgf*SUEuOJq;UGczo0+*}S32+d7Buh2Waadk+z8n&kc9JGw;tH&IfjHt)kV z%Kw)gn)6@DpyT`45+V z^1p^a%R*SHvDu4U-d~5rfAdc?=U;!L`U=W}ny69!))P7+6+gv(50D`E9%Rb2l-A{k vtqQHIY6oJUzx?>~ntGMva4TIrLjPeun1yangx25pk}m%_I^Xtx?E3#-kZ3u) literal 0 HcmV?d00001 diff --git a/board/tools/dfu-util-x86_64-linux b/board/tools/dfu-util-x86_64-linux new file mode 100755 index 0000000000000000000000000000000000000000..7be3dc3a7ef682b46a30e6852993a40999780cea GIT binary patch literal 152156 zcmeFadwdi{);HdhWRf9)bmV4K)W%ITAYh{45-vJJCNRMOktBiwLP#bglAFm40YwNV zanlY_)>YSaR~KC`?9IhnxTuo^kcj)Z22=#Eh?ngV6+{I@Wq#jpb@!ynlHKR|y`T5< z{__kU=2V?Jb?VfqQ>RW<^>kKe=T42X*(CE5C0!*k_rb+ZfzrPvsb*x9$n(o7jhFi2 zJ5{}=AEhJ4M>$2pgu`^SWI|IyLbliQaT-Y- zHvLA=noyDyfnYt#Dm^T+fQ$5m0gs46N!k!+lpFOZ9*dHVddUX82@jAlJ|;B#$G-7@ zfziK$C?|5vI|(GW`0jzEZQzT5d)@aX!X1!}s&**|)@jrYg8RfH$ z_WII`WXNwqqj~AFit@!*j=ij+WOPM&m4Er@<>Rj$edX9Ob=6}o7yTxG(oUOMC|Zi> z5jn~{82@PlET5n8R>R5t&rIt$Ct>U}G4~I7bJ+VYvur&6lL!0N^0H!Uuool{Hxd7@ z#{abQ*AAPK{ni&Re07|#LT0CVV}QoIPuv>j}HXd89yV?z?llK zK!az(p9L<;890@rV`rj2)(8GbANc$}+WmbW^fy74Gqt;-5BiV$z$f&fhj1VKf9!)# zRUi2EeehY>2mO{l@JW5>=chjKulCXIlYQW)_R;R~KJaJvp|_+y@T1YLg8#ig5A?x5 z&_}=8`=EaojL&2bzCP$b>;wOgKJc^pz~9*i{^~yD&+LOfx(~g5-3Omf`@lE$p@#{5 z(Eqa!dS4&(lR&TFfA7!EKKk`qAAFwZgP!Z;na1&OAM|+;MCmOI@-Otk=e$1nJkkgK zGoU|9I!BToUnE*F*S9ekuOum1I`Wu~7lrt83H&+I27}(j-(&D`^x`wlpzqD+kdP-q z+Bnc@vJmh+gHKv7K7U2&Kq*m*nSVO{TL!(-i(bO|<*!>jI%7;a7p-(@VNSt}g(cov z?~?L5pSQMPMpi|2mA9a1afMe}xNu2jb=AT;Us0`Z;X-NQ^koZY8+2I}MRj%FI=%WC zE9(s{to2rSi|V`!%d5b>w5Zr?NU(5;*N1kOl^1&f>WXX2YkbwUW?^wfbsgF-Ew3s! zQ5O0Nh-yU_S67vmFF6C7(poRMi$<)K>O|X%{Y$J|>U_23RaPzwi|UHYBkfn$c&kkE zTCdK5j7=Odkxniws_-oY#s`ijL2*SP-4t zZ>iBG6NB#iYO5<2`f7`+>PmZfKzR{0d6)O3g;x61nZN4v7K&*nSHc!*pdMf8!s4=} z$Us>o{u(68>#B=0GZ)s?6&F>NN>xQw)pZqKZ;e#r_ti=DMYUDSC0}_ZZ3$*nyp+`! zmKK#)NVVRgii+xDsm@nYUWM;kUv&jR1=Nh**Ay-B)|KDlWqGw9{iySLmr9l1%Ho<8 zI<>z-s?=Fj*T6!TkkVUQ%gR73gc&c9O6zOOeO?JYE-GQI;<8!^!?J9#zf=P4a)GO4 zKVYuKhNV{)K_DtgTIek)@)e5$6PUHY$W~jNGhWT*^|0_ z%s5VDOiS?{B~r{qBSmQa?x8WUdi2O#6!rn6j}_lKCBJpvI)$Dm#)f}A-%Q!1%TV2n zgMH_e_am>V!XHV}+2!Q}xYL+zqkj9BhSx?-!Bpn zm8A1T%J?$;5ce>QWzCQ27l~(lYko|>W!+=3%=CZ6Uu6;lCa+&4a@@wig+@M#1y8M+ zpEL{J+)J=5-GXNu=4ZSG&%T)-rv=Zp&5y@|H`fl9$i~Bny7H1)preUu3~67W~gF_%sVX#ez?_ z;8QL5@fQ5W7QEAfzr=#~Snz2Ue4YhA!h)Y`!H=}y7g_L^TJU8S{3r{)#)9YG!~86_ z;4d?gfDIOWx&_~2!Dm?T>n!-oE%*m4_$w^<^%lHX0F1H?7W|bK`i&O+&nEKD+j3csWnw4##$#C_L_R6opgA;#x5!__ zd=&E?B7ZLP(adiY`GL&SHHOxUd_41XiJ^5OAH_UfVW>gmPp(CtW*n*!`J>F!^@SFR z{O8Qm<%RM@{vh*obs?w7zr#FTTqs@S_b}g|c}3)3WqttjNg}_EdAhQYB=TFCrwa=m zIR!-OGtARIYs^k=ILTW=^{UydAgR6 zBJ$Ijr%MSXiTo7i=}JP9$WLINE+lm1C-(nx<`w1-i2O+A=`upyB7YI{7ct)<^5-&7 z7ZKVh@&lQtYY43u`FQ5(5<=@lK8ks|f>49Vp9~;R7Z9ou`J>Ep>W3DI{O8Pb%7^kq z{vh)snRkl(JIr&6htfrU5A&m#S493*=0`K1B=XysAH%#P@>`kb)D9i_QH($HoYJ8K zBL64mIh8}*BL67!oWh|Fk$;eRPTkN(U7!v0vtbG_9u*#>qRsxh323btsMb2I7$%w21y`MDG*8B7D-hbDUbTPN6k%9 zv@6jOPXH;8y3Lc>DL19VA)quk0o(+MM|G#9X^Et3?#NL)bm~AsN}6v#PGg5HH*=eO zdpZt)TacpUiaIx;tGNNx4vdRNyQS+a48-Yb$@C1o^#DL}%`g=Dsa zRA3zEzG`1iLiKg68wIMp6uHn4G7n6C3Tbm3qR>~>?q=z63<*ltv0ke$WqigSEk=ye z1;n6l;~U0Ha#Ob;vzG(eWe>wlIRYNl-asDD>O69hHd|N^*`cCgpaf{ff$iV3k3RWUAT{%c5rC5iJK zV)_$2U3SiCet>>MN6XCv*+iGU-6#r0QK)ty)Nx@Rlh>ihl>HMl=u&rv-V;T3KZ+=6 zQt0nMxL)Lp6!SIMfvM9z71@jq?Qh>xk9H4;T`!6mCp!0l&OXD!z8UOMmK<8iGFrxC z6i-+r@dQ}>H!KsV5+yf%8c&@4E>K`t03&bm43szJB?UL5S7L0S)$et!UIfyNJwXpR zixPR0GfwR+?F>0u{?W26qeI@bNBf6PdR$ecW6pDIa+4n>78p{EeDj`CT9VvyE4mXH zGB<)slUtSn)qb!XB3D8@h}DKtxvl1Gh&^5lfhqdflCAgoL6ikGuPH@aUNU4X)EizU z>g6Rz>dw%++mgq+t@Bfy!Bdu=T_|cz_MjFVueKhm0vj3#V!5p%#VNO~Oz~*_uo$Qz zc&^~35HX`e^j`ZI%EiodbGUvEztjNI`1+x8n>)o5PI=i=2?3|ygf2aJEF31**@SUw zg`vuAC$zV)-~?|(G1!`V{-r)#TU#uBf8P+PsvqPJOnw+;l=N7-F6kVgP2SB{BBM*> z8?i-$ ztEF4s)D8W9r;p18AT3?9JnmeZeDC(`=3{cxO_;!1JqpGH+S8XnTNU3?rpeEP1B?yYa%fwyR&rS0 zaR?neD2g(U@uo!5CK-i))C+GzAzf&m_Qqv;t>q}d*vyTSo8FE^D<{}WELzEK4*T2? zb~>tTrMeJo4b{T2=ibJK1e9Jjpbh!}=%A*x$F z8f-9!5o6{;lOpD9znxQSpx%dh5G~TvReDd=&)}MZzXMhKbRnST1#x>im}&2RBf6eq zt=45!`x~o`yQ!zz7*q?MZ9$w51co?TZstV^wEbRlZ*4y~S`3t0fhDs2Bh-cHLs2a> zk87qn1| zRGpuql)~oIwElW`3Q<3zg%+rnYn%OQVq0E;H!{nx633$k&F=!J_%!eF5rh;z1@>x3 zO-{@O=uG2@<8o7WjBb8A1v5Av-Iu(CKvmsu`Vw>I{~DZ3Uy>;-5l&>1O}^te=E|ga z|Hb+U<{cG7@tY=#>@VutYq<)_f@>NNzo_lg>29{tl>irdz)+0PN2p%poCAeoLMxF| zKM!u_;BisT=y0iDX+Ke)S7Dg37Q+EP(Nn4&WRZ*_5g7+L8xi_y0l22d$txQbD6z$d z&NNP5Dl+Oh7(;o}y(w!!S=x9qEH}jg6HG6Tw5SeofmCZD=R?N8F3RZG+6IAwooGRf zZ*zzGvfOeQ3idRvL_qC#G`%Y~@i3E7SQ18~9$eJV-Rd#zuZDYg3~fTVG1NjdWOl0u zgKW_#$pm66hhf)G-Kkr&~}GNA|&j_|pe z_E+eDetB5*M0ldQABi^fl-x zhB`5bnAqx&4^}I>eS~gAnWq?$um}~flSKn_*?@=?w7-&L3df-d!WUs+d4GL3G2nRIR(r71&gB!rlq~A!y-%N#Sk02|z<((beX|bfWq><>x z4AE~DRNcW}5;s-v&Jq%>un=8G#JMJC2%k+vlBMmjL>#a*^fMqJaQ70h)zz+Tr0&jz z!rRvatF8G0DHdtdpn&!o;wmG!D}W0wH@mC7i309D76o6iw3-NxhBYnYoLO!W;8E1b z_%cV`eWOP`=xO}qNM1osSBEs;iF1g~b@K?il%Z%d2asJxYg z*kqxyN8PE{KWNl%nEc1v6l{pjDnw0f6*jaBcG~X;%J$86o?m(zCzmY)f$xHd2!jjT zlOBe2>ZjrE1)*C}1?MQCIvwigqXTAu!`6LhRzDa?L$9^3(NCOWT+X6mcqP5au>eJT z^`f&;)LygDDQz8XmTNDdsND%p!k*R@s^8(r=La;C>_GsTF1MZCaB`$?e8b7n5YQ(1 z&Sv=O*ow0UNxPbCC(eiIyI;l`ZSuSY+X>VoNSOMA*x^G5OHKW|=D5_iUDvr(amJLR z{^%(_RhXagE*eb3X+tvhP{XwPG)Zb-UkUA~FKZJ%#}X_o>{$B?Rp?C4B?znKO*=Do zA>!$uQ`{vs&WC<3U+BgL0q#8G7)~&-_Sk;V7JyTB<|+AZjy~-x$y5A|&L&D{^O{R; z^QD}fgNoyGR1GBk-L2&|Eh~k-*QIu?_)GgXG>b{G2$Go9J?c@d<6@A!>&x|E<@JT& zZ-;z#tAdT7|03vQnBNIqq`B1-mT0(i9ZuK|y@2sl-wA#QuIAM7AA**40pGrtU7QMT zhc+N&8U~Zx9DD_;Fz4={QB+zw#;VWb&?gS;U}0}@?AlLIbB$k&*TNcv%1$!1_Y+v> z1}Ys;nar=HkYta z*N86|P$gz({+KP#{1(wU#$xXbIs0Ypz(r!YY8e9Kno;}@x9z(bw$}~~5#ut+?i-2d zWpMK@xtXW)w4x-B`k|KaGriJ}Kys^>jCgPlHZ)0Cl$QZFrL`bc@)Up9PU$_xd$j40 zH?xB7Ha8_vzB`}u!Voe$qXPl$^@bR4uo<%=hU+YL)Sh(`_&`O3BH5S5$K-e=GFn=0P+45IS z#2Cb7W0YMPyBb#8hUchz{O2Ear@ z=rKGG?n_@Uu;<98DoW6hQIw;8pMj~Wm%AEI^m_pZ6OwwwCC}V9;+0(W?bg8wnR|29 zf5^G}JjHKl=b(S;KC*Pfam|TLRyf)7obZvT8ZrKHzhLbp7@Y$Jg$alPngvpTs zm6NRkCKEOw%Jg3n`WR-5@yG<$_p?TP+vK)sF&?CxNfVKk@9G3az1{*ES<7R{Kf&99Xt#MgxOz4h&b(&ktT{8qNUjGl%BLo= zn9phUDyDnFurz>78}bQ!0X$E07`rc$1}5JQX}AOr@w7gdvL3|RIuLPKPjG^ISZ(HT zJYj${>X$ug*H&WW=5Ew>9_xydo1fEDF>*5>LREKXbaaNIk8O*=?Ts(KD-P6HCAwmc zZHw~7XY4{Us#KkIs_~%B7riqM66l7d_g&3~$>`?QlI_s${}elBD6T)gmc`?1mBXWE zr)#T5!l$a)#7uP{k;Fti5(Am&kHlc|ZK0%XPJ2uCP@%x6mh7`dB03k#PFhQLa<2MM z?OHS!PS6%0b};Hz|kWWz4lw+F{yKHa$ELUnAo{;^L5y; zahU1KN<3HSzD;fxTlqCR=PToWh#nJ9o45{v9;O81p zM;i|kOw~zPdmo?}n$dU-7C5eTaeDhTXun3ZUt_i(+IM}vEd)D06wW1C}!!6Qq zN!l6<20=E1;dL;!>WWF>`mNn=SP;w9?OG#+cvo(zhhEHK34Mn{yHb97;_m`u_k!yu z?2EKX9HnO5@a|Zx9J&Sb4|@X#aByT3?X#*C$v4ac&yt(@v2VVIVYeqR>Yc!laVTlt zvtoC^ehE_@%LlRIEQlm34g}KQWkjEcwO@cCPLeEr6SxwGaa!T~aOpFo5&LJ@My04TuyF2h=uT`qc5-Pq_k^wq(2B)A5cEI^XLlZO z*tQ`Z)p;OEB%(VHB-^^TuM^ugJY~`NMN-4n6L3unA0lq(wS(_MYGFxo({F(l_Ju1i z*hLql@dU8%#(2xk>rklLe^IG0+K5RBy@e>&zV#ebfZ_hiZ*g6VlHJ_uep=9uh=%dR%y{BHkL+db*Nygl0 zfFM0u{!lBTeRHSj&sOazsO3=)h312q*hi>8Y@Pu6&`e5+F?nM@reAA`T?*yH2chZU zVszTv(0qqd4=m*&3+^M}(Eb;k7t=5=R*#oA9m9E+ylIy@Hihn~1&?Xzw-1k?DeVA; z5U#-vnUlAxom&f6IHlksi|ySFj>0|lnD~F@PyGjnlYc`m{!_`n#lruxf93Bg%u#=G z6}Z$l3-i@)(Ar$fZU$$#xMsnV0$fX#%`^fe(sme$^w*8V_;-+yn`$76c#_~UBv1{p zI)CQEgL}F8W`qrJ_|AL8v6i~e(^`eO&_ft#5YcghrXU+1CZPAv&|H}YB9 zPZ$gCdF-hD5_n06Qgu7S#yh5Ymw&Nf-gG}oaOU7Zto(N*GrshwpYgJ%YM=yXtZtWE z7NTREM&}zQrAWT5(*p&cC_!m?k3p8aZP;;O^0la_JvIPb=@q_4&125~(EUG= zYMeX}rM5txWA&8d@2GDLf1mta>gbj-Mbca0J%V~v^gF23iXHuv=z=(~6vSe{Leok1 zmbyQ<4+eGoeL?UL3~*wC zMb`g9J{YpgI5JIZ-s6$8cgmZN!qaMNex&WGFR!>T^c_@b`ZoyOa5COk+HlhDD?*It z^TF8Ywroi>Ho0XJhz;Y}Z8;N4I>VVz63&E9GoJU~qQ>+l{13rTvVlP~0{=Ox2D3>M zN3&4z33N;Q;7xH5BMy7^AT5-A4sIk)Q?>@d1XCzmS8B0(zHIyhA))!Fi52`H;KE zUm{K(%=2U3z}bG%&WAYe%pY)!DBt}NIJz@Gm0R~A!FidiA0%&qdfe*QZfqG)+u?4# z*)~FhQGf;ZezW$;o4(P8ATrlalOLvEnfeB1HU{JL(_yYFfpI}EoC=;ixm?VCtOB@9 zR5TyfS7_gExclig2dHg33U>@T}c0E#XtG>G2 zfDZ@1;}(D{V^6MnT)P@l5l)lgE%su9GG0BZ_v&NdM1QmqNCk$RN9KEc7mK(fFfLgz zq1m4N9`}-Pui(ke(}!9JcJERThX$bo?!cm$ptEN@T#Y+y?n_hODN&%ZgW4)sM92%e zz>qGWf(j|8lUIkfZ4b8+pM-eY5j@v)UD}_4w!~MaJ!Pvq3R^3NhGA3KTwocZRq?L8 z4t!x*FeX0B_>x1YQEr+7iJ=}mwKE;gKF*B^&2aub&ycfjcYvvh3l`rG#5Y|P=3 zXME9k5Z-n>dL0<>*J2K#-26OptudFn)y}|>^MO0Q-=%(-xgE`phYVxuigFPrJ9%c7 zgv2C-#~$@Hw_1{t>sGgCt3Tr2LiabY%HdjOw{NKEqFZ0qreRVAhP=EOlgRcS4)SaF zgV7`elpb*k$FqOh%6K>Id2HiXHrMduuFSV_j5j$3vfz=uryw4UL%&+kj$`X#tV4kz z2f-GGvdrD;J|A`vQup)0on&9noNU1mazmPwu}A$N+xD5;_HnKn)}96z?lBc%P3q^s zO>Z5Wru~Li8eM@6z=)ER{+XKG^b4*f+tg5SF1Z@^a!LZ6mhH!EIRe;8x}4bHRj%ui3a=5f6_rGd<|zWJYt? zW`k~+iiayTA5@3!cGKOMjhOWa69#kcb6& z;%WBwCs7A7HP?b4Pc9dN266U_DK{b!l>AQSd@0unIj}o(*>G&ql(L9q>QU^{3`7wS z*s8g0CxoyLh_H*=hb>s7Y6FVZ=Yg7s1vjvfw@m_L^VgIzUoaCJz^0T1I%gYuzYO)U zdCN|AXCCpzx2HioZOUsfPk0D~1a~pq)Wg?H%>8;~Sk(P^d|=$yIE8!JHj(#Yck@yT zmKieJM*~C9K+i~0cj;T;q$KUfBb3~ok^yzVxdl(567P7%_9&*4<(CVUh~yQ}M|LZQ z6zFko^(4EuotZ1SxfQ9Ob9cKP?LPDmKDGsIorS%GV5!{+6qX}@yjz{T=SH}o=WLnt zQ&Qx$8z7IV1iAS6mroQ7=PjTh!4`=|gV?pQ7#!$MijF$Zg%heHLf+DR9Ag z7NR$a*h~uJoNPM~+Qazjq@WT0mC1 z=C(9G=Jg)6$mhF6=sNTVsQhItfOe2-EohWZg74f3*bmO9gY^v$jQa#B9$lhEP512669JxE}l( zgpFN~#@f@^yTiN0gE*TpMwW=;dhiU+MY-9Chlmaf;^#oLp8x^_JdcHG-02x2oeM;d z{}mVTJT}&ziGvp4zmo9K86rfg1hyrq`v zSb4U4)Mx@4K*Ya`8zJ;B^XK*UiS;qdWSkqIR@nblMhDOLJnD(l)&rb}EkyadXll5A zZt)?{`Cfj729t%74*wTW(!9{K#Hc?UI@-(L%==1Xi&K^hA3K)Y`0?zM_MWKLrR~62 z=c-?0-;<+u1xv(apzv2awznEjAVix5j=Acob``W+5cP5e3Hnkl+3ZDHcJFJQ8l03` zKNv@{cmn>wElxZ?z(+IlP_2DAvYh|uK-0Va;g}fh4}c9&BY+B(2(xrboh2#hgPY#< zeFz_u4$n4#f(r&m7wxh4k*tqkwGu*oJf`TX%y?I)4J= zpa{Z2dl(n6t>6Z9$))bXNI^Dj54r*BQS#qV#fJE|;WlZM+$^4A#?lkIUF;v&zxdEe zro}v^&)&QfgNz>*`4i#Z7f201TqZZ)2MUBdjMPr(YKrQDnkEA+>b0lq`uqZQxgK2- zdICiZ6XSs)*C=v@K;sdpm03JFXrPs0+QFBFyu;eN!C&2pfmn|k86AgS@0m@oFPy*0 zn_k61^iJ5cIB~;;04)jE7SBgcN*)E!a(Z`1PMMb_wr=8OH;)w4JeuJEuD0cTVjmbxn-{HH;jOibhTi1qX}p zm^#)+3b5#*?~g&djVEG#7jijGjx34(x!i_|GXZ`6aG#&lG6*-MHvbjO3}Rd`u=8M2 z=SPlyuQGF1zgN}#7?urg$;XLILCTPp{C4%mQkzPV{9v}qBM zoj@vtFk$ z{g2@$6P5>5-WVN zB+jP~#mi%~0h z6`1P2La@&02>k$MSk_mLpY|khSQ&j$^LV>+cDs9E?S!BdFBwlLQ-9|N~K)^rl(DgIi!5xo)N zYL){hARzV>--;kRh+{= zYFdtV0r;a<d;1~ZxlGM>)iXBSUHh>T<6(gnSF7_IV1 z_D*1Pi;v{sH(<@HNP(j+H`bt4R22xC-6T+tyVbfRZ3~uTPjNoh&@2S!J4uItAshgv z7#C}ua`PpiCVI8rDT;SjF@_BxJPtxT-L0-4aX{Bv5qCtmGsbP|PUq!g&*txUao^#T z+m2lk^Bt|omD#=eV^_m%@$TS~HQ2f}@dbytK0t{6D=aTa;cO`msmAZ)5b)ulsaMf= zxh<*TBuG{v-FWcohLh*wCzePD@&^a%l5Kl4zn0fNiaa+54-$R}#$XZ{5_2u03}7D+ z)Jh|{ypARtPe#ejEg+e<0MDdHOAP^ceL|hyqZ63EAi3!$(g(5)@o=fVo!}fL4e^D?HciH z>1-65!2si7@Un>>UM)9YfHJfMVz$JXTs+2n=tZGIVF zg^8V?C1VY=?PX>F1hXAwS%2HnU=!4yxlPSak(-Q>=?P}JaFKchXz0{W1rFfe7%!F>Af#uOS7+0q+5_iqoMsU zT(vXw7|w6yHjf>uiw&-8GNd&kfZf1i?RUoY+a1Us)_EY&RCd2kjOtTCEHzGW6>E<+ zi`hlwVC}6z)c0a5uGMP$!`}HcG*DCPT>mBWt~AAvy$vCom{j zW+8f;MAuqaFC*(r3&Kl;LdodZ!MA{j7z;%f5gRQ`F9)J@9sIremiWOI{r7pw_q zZQ_Y}JlAlw<)}>$yeJ=Uf#jCmHlD+OiB3Vk%^#y(c!|MKyn1-VZclW+ zX{(31pX3aecE+Tfi=Nr8##ev-B4|Lkb=|3Gys0Y;?IE8?q#Tw#cn;xU=ZSu}<&A{|l*MVXXaHRbjYdy22@9?;J@`4(X6HjQ*7(4*4M$+w;KmbJFK37fR3U50i|nBEsfhk8L;sM?r#_P$CWmoiuPZob~f&c zDqV9D*BYXvIL<#1)Uj!JE6Kf`jj!68cFEb>_+y<0)W-Q&*wK$q7k{-Q3Jh%ZpO!Z7 z5gR|*y$$=r);mH^L5ju`vV4CBq!Yj2=?NFt;e1}jJ63Y|`DRAc9$%2C_{z%b6k?Q0ytNUvNp&5lmU(OIP}M@{RScY>zxiUD z5>(79C0@L;Qo(x^ecnoMm9LKU6`<#Pc<$~JTk=kHVQM5v-^WxQ#C3XD@@YYAgSFO|+ zmHU*%-qPw?uTtyfTQu=bOr^+>L@6r8dqI(5`|MAN;71{qNz3rA#p>FtCQPcStuFBw z`>vWd%D^qefb`%+Zb3Z6@0VZWEiNxzpRR6zj;k_;$*UsF1PQuAMfOxKM&;ttCCVh@Rhm_`SM{{-EAuK@;#N4+|4ZFy0JGD(Mg8z+=X^_+${gI@CW ziut0#zsghu-gtGXG6`S3dgH3_880+tHTLf`0fqJ#uTZLrFznT}3X|fErn;#?**@?# zUr{=mul9ua`0i0NdFpl8!r~P^Z=F&D<0#CZq7)eKHsz=lf|0+bqPnO=nN(U{0S^6U zcbGU(zdLWUm0nT_h=4YIJ;oVq%{SM+c;-zlrql`pBnOHDaNs;e*u z>b;k+LwJ>I9i}oHuQh9ruJcu1aXENQt;UN~>y`Y18CP5`21PJeFlmatC4OW$4aG}* zBjS-!9eYvguk(&J-Y|<%*IzXYbrdM>Qh&ZzsjM#Xj#BE3S;g0^LP(Pyb6O00ZBacq z)4P~TfdS~s8>7suMunQ1it=LNfXq5Ndr>QG48#}_sVtmR*>%e*yx9{wVggHCw6pnipnyT~HaPngGV1dFHgSqhCV`zz4)io<> z%a@e-l*`l8$Bo8UrZUG{=feceFD|PrFY%31JVmud6(vAdU_gtiN|ae}S~IGbR%1FA zkBPUk$Vdl^jIl~VbuBcdTvuID;;j;O@_pXYq6pLY0?Q71b%ODfzQ<3k$MyuUBTyQs%g3&vwl$xIR8lyh0h?lRZVIWY2BFW+9mPQ_0@i*$_p)U=#A0Cd!0G4V7?fh(S>4S&M5K~mth&AqlK5$rw&JD zf|8m(c6nr-(CG|6{BH>TRbrIUD&IZ~AH{jDzX}>0%cYsR7d4k7%PYRc>2u&Xe`6-+c} z(zLe&)hQB2!n98ziKq!Z)_SP>CHvmszAIiyS$PNDL#o%QPhigc%7$P ztk0MWn6)(L^2(YDvDhnoBQ%$Of88hr@80$cZkSj(?%Zq~o9Ok#OO;nZ_YwXx^a+ds z7R~AlEllZVKdio|A4dK9a;U_hp;3aLh$13{u*sP@Gp9|6@YnSQRq_?fqGk3@#3;(u z!>=~=`TuApNZ@^pR z?xEM+36y<{x3+pTUJ||pVVk+$-~d7IXAeEsDSf)Y@06J8H0iRNc#$r{IR=mXlAxs8?cY4A7^r(yRu5)H3W!~q{8tSIzR?0)%&7*3y#@oy5PX~(OD7ev#Z&&XV02FN-4(j z#`x9XZd4Ok!{bT0)i8WRQe9=Uv-7hHu9mERTDVr>2if?)c-+%Gtub$UY0h+`b=c}v z&>l=LO)olJ!Yl4CfmIp4bfIg?tl0(Z2VF3_f!FZkZvs&h5id!1kT2|Jf^tb}-6ioN zaG?qLs}K;0je*dTq*F08pdXrb4Xswn#hSrT=5+4>v!a7=mD~h(&{wH0t3EhVzP}io zzPeI>1@?u)`1EK|Dy-^>muRAB)h}`LDjACyA@`n=&Pm_nNesCpecNMfZzSx5Bv@dn z1apJciAjtk-nP%3ie&acMBS1e8|rBx4z<`5h)M#d$G7@4lXjou6e-Psdxz@z|66dC z(N03I_P$Nl+YK48ul8U259NK;Q+w50YUD?pt+yL*z`p8#+=pJ??E`P}>FCwZ=Z*Yh z22^{MpECH(#$5$JCY(P^&zJUs=NWXp@n+sE|KtL_K7(xGo$&V;^q`be$?yP}0C`z# z75jjy$ZpLH@yxBGZe*ArmhGU3|4-+emqkC_!(Hc_E&McgPlDLo)>yovPNqA@v{Y-;G7&bRW+_?;wW-;I{#o<_Gb?pkqOOoMag+|?a9LvoRha|G5 zjS_)6(N+3jmHMlS=|0i7p5_?`NgSz~GMvs_m>;$V)xx^b7c9J|N4&bgz4UE_B^+{ni!LG)Dq8nE z)C$9*$i#*vE#VpUD2v-6=SaO09FO=BnfBE0@lT{HLck{mF)}u0Y$X1qk9kmVW;uIE zl&ms;)l$W~+z0WvX@j6xGIZXf6k$9H4yfT+>b(^el4ANs-Jr`WX-Czir3|En<)Oar zxtLNW#znZV6&&4jIm+8W85ji3m7|NBWwfxC`AZ|uZVxk z5t@jcOX#~stf?sX3mr*i*MS+NCp( ztc@9CGKx6CBEHP1kY+MkTwYa#b2gLTDC$ZKY41a1eOyQM1P6@lO~b|`Tf^%e^?oKwWJ57P{PS?Ednl?AfmT?1g!*Y1z^YSAPCN zk(Xv?yW9&4XXeeGRgj%kASsHHhx2iThi&*fVw?<>IAO*y3Fm}ikI;#CxwqJl-IUZb zgKLUvA-OTzaka%iLniFdR052p&a$(TgkE{XsfR_nn#GAeRz0sI6wcKUu|*vP;bawN z4uRMhM?ZoCLA+ET4%~ZKV!?vnhsooXK=4ch8)G8CG9^9O6YhvUV?+;MbGC@d{jY9D ziqwH_%r(8jTk5MuEFqQf5H=!V#Cr5y+d@+vM%IW$>m_IEyc;iDkXohdr6-mXcSI8u zE==*}1e=P3M(QY{Jl~2M%vD&iv5<%pht!ghsU@k!;5$k%z6>kz^2^Wy;(9-h>^Y>u z3oxXC?-YNO4w#;xBhOH?U|){IdY`Z@u{k&5-qTwx*Cztkd3{tXv1*m$Is+F|m!uY7 zGD`0kjs>|D$1>8JGsfD7Wz(2X|AtTGP*((02K@{XCP$RevlymcQKBqYQpc8X<1wy8 zNlUHcKa9Be_^xpZSoVJiYjRKLv+nuh|dfX)reJuy? zl6l+?=*}*1WqD}w;s74dJu`Qf%RM^(`k7foyJt=*%)eewi2{?(47VbbCW5qPdh{Gu zPQhq#$VGHv-f1kv!5Qa@S8P+^Q%XckM-L85#0iy8GAu`5_{=SgVdAG3;voSXR_dER zVKn&C<4+!S(J4U!MOTJ#abWS1XbV%JyabC0cdUq(Z~?~Ca=}XYOCx&Jofl>ar!^Oa z$PJy?tDQzq68-j0-^cNqh7w|h!V-vOix*a-jdSo~1v?PEJ!8E_i#zeJo07F}V6+b2moG!AhuiME8|Kc=Ki}tqQ&mV&VA-+{TMD%Q~st zc!HoD1_EVZ16X7Xig`IvtQ+TP?MF7b7@f$u4UcX0Gs&LIZ*y5NH^o-^n(BHO9IQ+~ zIn*1-hgDD$OAy8*{Hf=5NY@u*zTP@pick%qIR^u@MRk!l4;Ea3qYvC7T3a-Y-c%;w zl`EYhca;G>2Fx?yLIai?aJd0h1KwxAzZmcZ1DZ|jF!E)$>FqpVzU7$$3n~JM#^Z3dlhjFijefxFd zWXn>-+jNA7J$N`;bWz;017BE0qpA{}H%b@@;FMnc_1+2n%tM2G>=h^U-BUFl%Xca6CKLzWq=5#fk z8k~?&WU4u`J#m!oHO&GlRK%}P4L?0}5tb3pf z_|w25p zvCZ$TAiN;-)&atn{d;~*cxi51Kf;$*KD~-CZuI7V68`f1_H~3MNt@0mJgRKGiO_TN zmTw3@a0QzPZ+r8da|sW9@_r@Zwa>r#En!#l8;yk5Jy0=-uw%ng;ZSb*e(_Jtf3eGZ zC*iF5w!wt^D`JZYizmw`3BMcQXeE3_shUW5V^Vo8;U}&|ZxPnsyyQ{B-Je8HBAoVS z%r%5RH4oqqd8DP!$3ISZa>MiE2rC|VW(winT`#;#==}c0zYu<0vF~z1|NIZ}bd4mv zK49;Agx62r{b$0zr0>6&aDw!~wS*1VzWoZ}(4y{k!sowzPB@aE?RfS&=6`eF*4GK; z$6wk^`0(baG(tyTm0LEK@XzNLbrMb)K)9VS$ppT3#!!spv!2_OHY{r7}dyt!E;d~{dba>B9SOZ-8% zbk~M{{AGod{6OMIgf9%ZX*J>K$(4NOU;1@L$u9|Q^NT+t{PxYjRSYBCm+oyQ zoGC3nO8Dh{u@!{3K5jdYu=88T?Syl7$lnp}+`myozImZd1DXGPb1*=-vSrJUgdd*& z{$j$M(eDf){AT4FYYDx%Z~j0yx9FJ}g#Wnq`3ZzR>BT<~9!!7XO+we>A5JHH`@VgX z2`hK(evI&kZ}+}IcvGl6i}1(&RbvUKwk-K8VfW@m?-16Fj&T!ydVcg3gbQ=y|3tWJ z<$(7IC*5q%Bm9$#e=h-lPdzCiNO*_Re-~lu^JQ}gpKY#I2>ZQRiXZ$*((gYh`Uhd+ z_aEFy_`t6HBM2{gpnD_Xa~t07B0PKYv)2=@8SvZ~!in==+C=!*ime@lO5^4)3EQ4+ ze~_^M!M13^2lqVfBg~xk*5`zOtKahw;gE~pjUj9t`d%I3z=YzD37aOC+)H@XO_c)( zH{5X3ErbcLCw@ZsP`KZ(2rqd`iYI*f;kerf-M^BL5bpVd;}?WwM{O4np4t{$PPkys z;^TyWU*c^by!pzCa|r(#yR?dM*?DiCB0O;E8!F)qx4e@?_+QiCUqV>@;g;_Szdszj zi!dv=>1@Kc?%KGBQ2WE)y@acNwfhmm9ozPeC!Brs!&!u1FL_}%;gUHoK0)|??DJO> zUUTI$9>RAn9k7ou_q_PO5gwZ!eI?B^wA2-&K`CxFT3Sm2mB| zMSmwex3Tm^!u5NqQwgs;ST>vRzWV5?*?S z@SYox`haFd@f z{#TVzgnx|f_dCL?uS`5d*t{gJmT=G<$xe9t^!ED*2j8;!AmLM&K7A|U`19KO6aMzD zJ@*g}2)^|x;ikjytt1@&;kyZhZ|-^H4#Fu1-~5{J#Ix_05mq(6b0OhtLxXDw=Uu$z z7~x0t8><&qikw7G1pLNy6hp7j+ZPm{y)c_-=jGRfN-T+Wi#a zdpGRePgt7pp_A~)#C<;}%zx^|KN7zD@CzRhu73TQX@sHh^XY`cj=uB~;ho#IzDk(> zt7r2GpZLRbDTMYbySEblD)#Ny2uIBMpn$M_$^M@aj=81uS;EcJi*^u3omaMi@ZL+S zM-u)#nD89oBX{-RPI%sj_8SOW4@ZqAw4E0{ajgyQBAwI}4(~&H(J#W`JdFMY4}`-T zkWcyzUi*)H4PY{0H{g0e<+tJR5y13^!(k`9MmJy?;QA-R|Gt2QaXGcHC3z!C|;AigqOXcfc35UA@ zJMdzaBzV7cydTE{*bTS{(1|xuZ2;tFunr{KEwd%t@+8}N{o@?#Y;j4%55xbe`@`Xp z1`WZP>9PK!jP&WZL)RDsDKTkkV)Ar3q2AFTU48DvkyoT#1gxm<26X&799~F-J5l)0 zu}IFx|2*L55bsJ%x+5wpF}X2%T4H)_d}1;Xu0)3`!Hsm5NP94&b3uA9{yUoS^GDy=b764Tb&-HGXU#AYRqZ;Z=L?6yT;?1)bspOu*IN=%!Qs7y&r zMx7~Sjq2q03I3-+4*n@TonQ9p{2jdCy|`rwcO&?X1)nt7b~N=O zHHPKB`eTygb+ys8wgW<4nq|jeuR8&@cq9Z3^Hojd6^@*?z_Tw+0 zTZB2Y4K}8?i@x3!eWrG&B_6RyAB{o7kfm2ogkDC0#gE{3;L&jSuSk>M+9+3I@*UBx zM5QriYGPj0!-)zI7$+!`wlE5Fbo?*9=`xS9eDm!)zV)#`-=gOUSW&3^qAJD&w&(-^NGj9 z;cJNiwNDHSGkQ5+E-)TG>rCbBPYKGGqx>k^XS=OYu$Q&a3;KhxVSgHI(Pe1;v@Qt& zI8Kj|{~zJ2D0h!urzJY0{t%f+***68Ch6e|vyJxdrvA=sC$#q;&S>uzekn2F_m^<^ zmwoXQ^D&$D?nzu{i_ZQx22XwXz^?^9xddtI50l(j_)0u-;J>=g*GCy znY8$D9z2Kon^Auc`pNod?w^>?(-JpEM-PiW<2=Zr#;9Mu5yyiy;r@tSOyfKdb`kZu z?w&9OrjefZI~MetpACn_LaWb%>B1zYCOT+;1t>p(@)V@a59?I`pM*8%aw0{&C8(w= zn*Caf@^qBbe*a^?*4v`*r=I(m-<0D$@aw=2RL3AqyDi5oBmP_9_W>^g2;i3*`2JY) zj`YG)KPkXFHig6gARl3W*XVxS8MQcKe?pu3{3`(c2GCpii~a-O0sJ)b!5A+?@2Bc! zE96?s_IuT%ypOT{&EfDM)-yiD^RKWc0WSh_15cg534Ai}8;BEnhJ%kPk55d>GFAoM zj(!B)deGU8ac_;nxEu3Q_?aA3d#2Z{oSKlIn3iMlJbJ_IUoQOeBE%5GBkj(Nc#28D zRiL~E?@B>-&18mQQ_OdMOg|~(eL6yIt{zlZV!uaX)W)9~K{J$}8um(29 zM%TbB=tyRnzVU{Hs6rhTnN9A5=*5P=iQvQtQck|)V>4ozl!(8YPQ9ShhQFGYm=|q7 zqq`D*wgB}vAhzNA;&pq0o94Q&MEx1|GF2@0g<|2y=pYD~o}iCE>K#BVBsK)7%8wiW z9|OJ&F_D)DG2eUE?`*~c9B7RHfiEpf<20Yiy z8|7TT_%X}BI~5k^Bo9P6iI%wJ@N>f96c9_N_#B9G3TSLUrP~;j;bSc8k~m~Reu%*K zd>Ld|fENj*>65vC=ZEV%KUng_$6VvP2RKFE#LqSIrrgVQatYgI@iE&!71sN6qQ6t% zu?rCWGxdx26N~&Zqg=23fB!q#(0}iiJ~PJkm;qx4IR(j3172*vD-Af+fY%wY)PTzj zc!vS+H{cTnY%}0a1MW58X9hfGz}Ue?`v$z&fL9uDssXPvV5tF@8SoAR-fzGs4A^GC zod(=%z|RbL%z&{&jP?z9u>r3%;8X)%XTVYeE;HaA2E5;ZPZ+SxfIAJi*MOfH@R$K( zhZ^l0Pz=U@<7?Pby7c^M-dcXSM^c9MlY7K`Y10p%CG$o1c0-_S>S zkx}3KAIi)7C>MJ_@TlpdT*UY&f4#~nTIwyoINLzUsy^zALspazGRC)eea6N7+-cO; zb3Na)jpVvM_=__d&^+8neQ`+N!>-R1{OEuDP{SRywW!YIa66vu>T5RhBfDCwy*b5UED<`h)< z#uC%JicUXryh(p#lurLMb8YKYL8lMTH0hO}>-19x_98U0I{n}MCVfqgPS4|=GktXW z%2g)4GDD|7VXl?ED(Upw?u^jq>Ga+IR{o)nn)F9*(CP2%#n;UK37XVZ=dhg*q-`mJ zfOS~t5A^ClR2!m^xHrdwCfX6V0;3lbW5+LEq-dK%0&R3u+-Hy}Iwo!v%A#$tQ37cX z_hSY7al{%?_oBqnNG}yt3$&w&NpRyCbJT^z1cP_kk?d@g=hTqTYd;bl68jKa*%0=KbLjR zw#`B2_W1d1G}-nNxHZP7k!+alHDp@ipCN-(TRq6u#a}_ajIrH|%meWgn7K@3*2lY; zNf((7@w`7t$Pk&0@w1q@+%^JrHpl<+EM&$C**fB9k@c0fH_*-wDd7W*XTpPVHzJpu zu%4ZCR8Xo%n3NnhlFg&+uETLF74Zann}m^U04~$D=-_e_1+h%sUtrZX&hhNN43rHsf%71a0-NdJ5?z8IR^m{i}&jmZJVa z#_3a#i3-5524s*Wj%<;qpT%_2d8lT8F}jWjrjz)F9D7%E z6A?@g{2fv;_VZ%C0337&=j5P7Bv%j0$M-66Om^EKFGOEC=ucp9>!1N>{+2;^Lr*IP zJ%{x2L3aROKd2UVw`@=Xbm<@T7)pJEWF`wfiMr zbUhk%R3M%BGwMciYyl!Mg=w4PI^t8Aj&l4F--#pH(P)Q^))Fse+U^JuKZ@x%X>b=f z#3UB-4sX!&NK1ogMz%r6Q5-eMj*dhRnuzb1K{Ay!=n4?V4jKt|af8}1r~W_c-UPg= z>gpRm`8N%RLwGwCBltINA z#n~5!YHJmTwzQ75wWSWNzRu!6TZjMexAs2go*VIf`+oi2=lTBkdARJg*Ra=Kd+oJ{ zyUvN5w~%u>ZzPP9VMV(Fotb^y+xXArc_MonyJ5M@fzF;z%ZJ<*=zsR{tasf%vwQ-} zF)M0k<1#q=ME>nO_h8?6_+-C^YH#VjYXkydPFFX3xAt6iJ*jr5FK_jC+w_EL5wiBY6I@-uVVeZsD7 zMH4w$bW~UmhoqcrmP76b1t{l;V_VS;psdb`u0^-R`*UL2`%eXFPF$+pab#})Bq(!S z{;95 z#*5Sq!Zs4$j#cmifks-)VGQiJ9wobxhAOl=QFpb6NX1~+@k5ND+QU(^I$cXyNx@wL zTxYyI4=@=!)}mpJ>e9esJvqAENQN0iCH7)RA}b&eTd2t)jzFG*lqb*vWu3x>llbREPs~dJaOuyE#Dk z6If7v9iZuey4m3yMx&zp!dfeQBT?NK_8)A8_mI@;@-Du@H~AoizT$&m=6>`70XdL4~0Y%3YDAj^%kIB#~ZeeB*I7NI%9x@lYd_2J0!g+ z!ER{~A?Jgl>=Ywpqfu%#EItDiu(C>0YM*^{^%?3%P)SdQ865sDKLxB#lwu=Hqh_&a z_4y0STh!e?^_JbJ*3{crwEF%QU+Q^PwG0gEBl=d`GlObLX&9hEm-d{-Zu2dnpjrf29Y0%4OtCf{ZQdFe6VC|N0+C;?KC0IV~S=s#&yVouxiM z0x?d@p-uh&2CJwq7=fLZ?aeiKddN~=Qdy_volVtmk@pB2`T(K^;29W8{hc6pIMrR* z?mq~20^Ptyu>O-!buZfOD_Vv5&eb_+TYXK;Qv?ynEcFd1U;Cgm0_jS$vmat8Wdh#@9M5oWmAb zDk?$aeAEyB4-S!rotDkbBN%(U7sGfLlxl!l55c8hQ`i@##a8i=8LFDjF$`HqbkpjN zNi>7~DgLQabrV{sI1Tj^(eOxCR-OguFMukyqHtplvk48)!w~oan!zLwwH(|Oq3gMQ zth&ns_`_}S!>qce3|@AsXpnrdZ>_pN2kOhE9&%sZ6tU{c3X@O;iK}RFTmU02LgEC2 zr^NXIyj8a#fH~X4Kv%1-YiBK!JS~C|Ey}6`_2`-6Fo==8xApi&?xzlcPaAoYX{W7` zh0}XqkT=!UMAaEP7R`C+dpL)1%I0>`N45i|AMYn$HCpZV)o3;B-{}C?-^UFS?Z`(}sJF;DhW_Qfz3KP5VcQ*#VTn19 zpOQ4xVgv8pPQDF_RX2E*w!uHYr}4Vo#&}MgokCCGJT(V1ri7av4+p!+NBz14sM4M^;jxCVU%7NK=3H}X(vXcJ zuZKqy@=?Fhd<|{+j`&8mMv(DkL*O%BqptB=J=B)FcyZD+Aj}0Oe)*%`s$K_k1y$~e zom*LjA(*Ju=HiZlf5_K;2sb%ZhUjC6Ry$P|q*ZiAeF5BXBr7YqxtS$SIfOb_8-`-6 z8fu4{Mg}%{DM-qWKWdW`(-rpuuixvg_N5$7vGo;3f^X|LmU+FF<{vQl1#G^D{Tr|O zjUCYZb4P9doh6o} z7R;iukw=x%+w=w#0y71ENt1dn?(z*X-rfOO+92Lrx_pC7UY^uVYUcH(9rRy5>KOYi zPmy+=pvYxMRpew}O7ay$P-!HTVI+tD4NI$t&!xA;< z?Hralb|XZHL1$8f-UgX&(1u1W5k{aR<{KicNb*s0?VBlu&iLET*lx8Y5Xa1w;4t)Y zG|MFQ(N{WX|4w^2L<|CI^zUI)b)UdL06hF>Ft^%o>%Ge6=3%RF{&-l>yMdO`_@Pn6 zAC0|s9~f7U#+3%?k4E*)O0c)fDNPrPnT&ih?VkDPud^&I{elk{SJJ% zTvHq7c|A2)sCg}1f(^(wgSnc`uQUYdgL%;JiKx(Ih19T z0YMn^n(SPs>dx=3ZPLCcj(dWDxsjz^_hW;o24bWjaGv^$XVAJg%}CV)y+{kRH*sN< zMe_Ji%j4YXd}^o~LA72BVQ8p}_t33!;l9b#yOSk`M}MGE6tw}Q7Z}nF5b=-tW8nEiTA*YI)G&@!NX;00{>Z|ht%Y;aYU{tU!i(DATeDjwcy zh`t*SC7Ru1(0(*qXg5KOM6;bmh-PgGB$^!t#%TYX5rFt9AGO4Of&#cmR(8ca{IelQ zpNE@`4d@x0JXzk09eqm-vA=;VZHW(!9N!XK{RMaEb_AlY(AY4%>%vku$SG$gU~3g!61F+gXy+-sV(zw)pb7epW8C$EJ<4WBP}m6GuUt0wO{s`&oD^8CVy>k zo`)B3X_OWJm+E0OAS?cthUh3B&P~hoGOdf>_zUU;h|xBiYmk29GyKLy^WANk_p9wb z^X+Y!uU)L0!Y(~z;tT?Ud=C1Wf0oj`)DV4F^E&Tcr;JmdgEtU9T0Ir(T{GfV1)^t9 z19Sn<3G>seCMTF`E*AZzdWH>us`uP-ILPatG(^)V=v4!7u~;q~SS)Inn6A~oZ_vw0 z`$!L6%@t?6Zd6vB`oji6s(Qza(0K$(3~&vB$p+X#V4DH%BJcxa+=Bq>wi|%fa4_=% z)>z_Mqi$fi)`fca&_pq3tcR|@(4hZHftMM80eifr#TKz{m#JnuKS*z9JpoKEuE;dC#lTa=#VJT??avx9T_}-@x6SMJru!3 zdwF?x-Hz|&)s034&D_qc?C>-BsI%?!z$}68W`?LzLy#WmeqlBcdZS=MRBuCyxUFTa zvVZHzdV#X)jwfUHeml*qlskZyJmx!0<^?nb9?Dd*aw%BfzI)a_~s?-<9~M+vLc zOiw~@6w1Ce$n;*hzJ$8P1zOmV3S6OqgFBB6GO=p7pV$C5_1>Axd-1x60TJ?7ugt+-<1`s#Dxr@;kP1L_>08Zh$oFa|k#QjgxI47=mpp%K~9jb<+#rhiz zhs@&r0rtvbtvlH#b=6{z1xH|7+Q8~4u+PW1q3~nE~vp1RCh2y^jJ>214ZLrvj_LiemD<^gL=(cXMMlhwz)xEleyJ^LGTjj9aC61h?85w@LAF z)MgH)z_G7}A)w!I6k|@qo(1?Hl`-H|fBpa)bEbKfe+EVk(3FR6TtGr=Y?VUgKwbGq zuQCfQt3kT*Rj-myhN{83@U3qTsT}tVEShJ%fbW6mwXBSP zLt#82{dFZu)`Y4ker@b-^D=ZiHLxb~mLl{p>3Hoso^r=O)x$@>2CIY~Tmm#NmD{4n zag&y372|t1NI20niO8Nb4f=Pe;l-^qCa6Md;vJ~Y+)I*9h>sd2C272jb$0>@m+Fpk z79~gAUM$kP#_fdx@~j8(O%YrGM6fmSUf9r{#Y%3aB?_FlzQ$q>1t_((uF_pz-yvrtpNQ|7ZK?}FjO^F7$Q3wXi3xm=AY2gU0xa5S=1G5o#GC@BJS-Z(iw|7%`p6V4eTUohT$(30;|&u!~fjFqNEvyzhYUZ z8HT@RS*IC>zhPOY8HT@QIT2%;VHhbV0IFk5GYl&MYGX_@4BG-EV@xv)hXj}yW13+& zEWotbE#M4C1Zapc%`oh$<td@J$O*%{0TIsEfGw0E%-wsdnc1D6p2J zDYdO$Ez=CI2hdK&KA4~yS;vG&Nl=ZPb1C^HK{c}e$1KvBbb{(3P-V5F5hcekT0M$I z<`_o%OD%H@qXUjXZ8kFq-A9}}^i47gvzbBYKJApTg5`)?h+@R0jEEaWStt3$dTd8o zlKf(&e}xpC?@fKKwu zn9i>2B)^Q~S+A4)GEQLGOY+M&k-2q}mmzl@Vu)=7RD^H|nNei)pAe8S3EA&lH?a}|4+!)Nq+IXuRx=d{Nnj6>m`!7&k{FJHxtQ~%6XS&(0(={P3lm23GXHW`0YY?=GRw18eC|mjxEtNW^jdodh zzZHZ+M7ZUl<|{)b{PD3weo869ok25byBXU4pzRM)(%i!}!r#r6Uc?RIoG%%k*%|9U}gCdt-BnYT!>X#a>UFKqgXuZlq%1+4*aYm_lg^wwd)a z2rH)n8he2Mc7wk_^Q->QCg%LvS8Y144z;L#h7`jgA2s8j5T$Lo-{5>(zU-?}>e>H# zG{IacsOfGp{k2M8F_xxj#%OHJ377`$!zlR4rF4O5gOukSg)(SFzigGBX4oDC+vS=~ zEccSBe+KnX3E3yo^#i^W6mplV=5fFe8CrSA3}DszD>#kz@p<&|%VA6H<3j%l!N0*e zY90xvmBNi@!)|ImrL_X=DKlUJL8s-~X6@Cd(5t(bc|O08KEEDd#bUe*R4rn#c3O5d zYoA|CULJrF{0ju?=NtMYQGuUeuEN@_ZWh-+Z1hgDCyloOp@&QL(zO0?9r1hJYb9tm z$DzZqRConjc>=9;Ig{WS1iSXc46#geLr}p_P6j&lHybpU+=*`oUR+#bXbx!;vrZ<5 zai}2%4Nm!}?Nd?I8jdzNU&Ep^l7>wDdZEGNM+Nz)7A7W&U!M*2ial$j`}IWQm7G2F ztJ%EKvr1PQb7W@YRdWynhl^~o_+ualvo~7R;;z+I*a#u{q;!uV9|ZFEgnXt*!*8;? znyu284Vr#h4nxYc{Mv7MhPyU3+2d=i4OS05_pRPjOs8f-aPN5rSPr1{Is{Z_vIkPZnP;HjQGqs(UBJPPj*v57%%#T>JguI@Zv9&v2b*(7ws<%z;aY$@kFY zI}QH(O};@)z7Y!axZM!f7=4a|D6P*uzCN%2*ZO>5(0N9Ldi-Jt(eCr5!TIhp%CnV@ zWuC$Ou(;=CuP-04FTXMdP47#&5u$@ThNWjQ@E!j8zLEi9M*|#;fWtM5n$ONUdsK zd1NIxh9aY*)lXmckd>>EZkaj(HHJ#n@+iZVqN*RXg>k;fje3)fIe_X`wO5C+|l5HI~qK2M+d7dXvZA5qvpUJ-NjDI zfjhdJMSb9oUeE6819#Lva95#DKkXUc1xl*zAGkT1QrqIy^1yu!fOe#{?}szEjhCTl z9uD=7)enOvOHS0W)QLJKC+h5KTpNU(I`si)a+r#|S>^ni6T`~B4^YTCNln58$!QPi zR?d6CsGNN8I5``UfEdns4fT;6W@tup%5tDV&c~ROv7Fwh&&W9g-|?K;pv=s95WLkn zyYSr~_j&j)*Lh8~!$genc{}Hi>Jg}5#rVLT^A`bBY%WxA-d0ZoW5+ImFy|e?gklSc zd0&8V>?t(td@MjD#<}er79bkqlUU9dBEXIDQ7q^00%XMa?3(kH0GY84l=iLgWW@#( zux+Z79a~QzEI>}|Ubf>3(B5i47o9G4a_pb_eaID*=|e{WRLmt)R{`u8A0c#l3gEH&wRl=#akH-?C%{x~3jcStwP_er(RL)cZ?AR6xJ5B&6HkYcMAV4U#i3*%3KsdI6 zJaYty#F)tJoGd^z)``F=0=ThNwA&IsdYcMO8cgt7YLwYZ<7CqVrV<| zHPyUSFriovs<~Z&aBLYdR|pW%BXzX^(b#Jg@Dl;t7@ux*c1oM3Yc~YDc9Y+=0bI4` zQCSXgE+2w*Zg(c3Hjm|8UST!=tmi0weMlWiiFS0Uvv#c+&GWxI_HBX4fPkaDVMlO%y zvo3^u{e)<|fkgW9C_bBIeR&k0!?M0SiqB#rsfd1 zfKM0NQx}3tb4(SEj?XdN>}GczKAB&*4p1!`?_@hS%tA$HJU{5%NK|J&Kj`csseXRY zxrtAfT5hVBII7v$}r3 z3H(SzgoM$lZZsPzXshm7j3|#r*lzb1IUP*-H+1tyBYYaR`*n;BkBR`R<1qk~>`T=m z2rF39j<6K@e27LBP^_w<)PfTrRn^dr1&=`!RYOIJS6XTy3ng8iX5}!J>FmoOvmN<* zP*rbd^%KE@uC*59R+dZkzWk@$~a!LEI02g4j|g3;{2$Le^Kk6a#h! z@nBBZYY1`o5f2YL)>vRy|LZ8#pMP`(Oin8ylKU@MeN* z!KLO9yc3>ZEmwzg3684*_Ii56Nd#X5*nbZ^N!>W1Dv1iqs^RQAWK02L$w$rKfQeVy z0Xm%74}};CkFxL$h-1~D888jq=mVvye#EvPrSzA9sGzu~jBMVns;{2*L`~~&MD1jO zqG%2$~tv zmY|GLWgZo<2EAyQJq`ZkYw%G@11To{Vo0?HNVM9AE9u%GywL2bJet=c)&Nzpw7Kd#gDBE=fI%3wMJQ~NUm3r zu;U7;_SdHVIMlBX)W2csm!SSiT`y|aeicCM^${Db+O9QPk@etsUJ%o1>Pp-syI)(i z#{|&t`RMf?`hA1ue9nN|p?kR3Ua3V|iK_o{xu>V$L~d7y_$?LHx-98qBct zN8NNPL=D^qRN~hL_n?*#b6!pH(ic3u?+drw0$y>2%{6d^ws>)cn}C7avhBV`L%;3Y z_`INQdx*jLZ5MhS)4U$u=e?a9cFjAwE$_UP-fY*y`@DCY0<&A<~`e*X5>vH{hd$x?W}Iw&dT(54jBAq^6mJ{YiA1EVV$&d zrf!D|>k&i0kMvh8&%x^QBC1Qu58>M?KZ5UADPO~SGk8i5pzs9>iK;llRr`?X9q0X*>21lpul3Bu zxljOql(}%NH|#?_>x@P#Lr0oEGe%%F=ixEpZbw{>??S~f;Fg`u*VZs5cBm4yz%c9u znjh7=A{VJY@_>1XVgf{$fyj?t-VUfzMVx~lhel>Xk}6ZJHr`7OeBCljl?(JkKm!he zZ5T*L$ZA?CXIQ%>Sto04FU9lKyP&JR&@|3y^RDh0f6}OZ!qhW5DG+mtv;Oy{emuI` z-LJ>ghj1(Lp}}&CqB#u4s$FAHr+{&eX2d39ri9jqnyo}=a8i1|6?jzQG81Owo*?4# zd@yVaEi**r7_yUu7*(w*5053uHejgYKA`&`z?UI7K2Rw9uVY?2}hmJ77?F7aJ zF~F^cb)0hVgKie{6XqWcVKA5CegNoq9X zUxssFWm4*PCjMw>9+l3|RQ+Lrn2*P-C`Rk}FTpW@7Qcc;V55%>Td9bl7SH;|I8n4{ zKNz#n9g-9RTFUE4J+EBdTb96k>Urhr-YP}rHD=~g|N1|JCo_+`jC$rUW_FNb78o+~ zrO156%mOJkv9_ZWnVXndC`D!@W_FSy^AIyTOOe@!nO&sFoWsl_ZsqHlX_(nnip(#} z?8f349$7Ah2Ap?eSs2SR%GmQ!vc~BvS+3w?NnB)&Z-n{VSu63q5Cojff{`z4!a6kJ z%nB3r3Q=^fu`I#GxSyOj9a5ZzFxT_3tgt5WA~(C14RbLc+ZP3E!nN>TrzA{vF61J| zn)GKlMouRlXE;wJOcTbjcCyrRSvR%UwZqB&n-EVmT)K_= zgW2qBas=U;D;kcays=?a;q}sU1#TYKMcD+VTp#a4?}P zeAhDR{q6WpyWfta63QZ9$neX1>mq@KvPdAIED}g4iv$wNB7uamNHC!+5=NHC!+61?Az1n;*a!Taq<@P0cIyx)!l6UrjNgfhGVB$ZGW z2_}?9f(d1jU_x0Wm{1l8-fu^O_uG-QgtCaa-;Sgb$|8Y;vPdAIEOHZv=if{yi?|e$ z_TIzjy5HOITE6!%8b~XPwoNNz4o)Dg%uQW_yQ#D?SErT9eR!-+C3xwbejnZuaU&G_ zk>azEIgc`M=G=xESe)}~d_Rt>OH>^~z&uarcB!?bI~J44%J~~mD(7p^**Q0%?C3Y( z#U63Cf=Dg`f%Ab0xl1j^wZ9Nd8)m`yd2lChAf&8_2TK-!6#KqVynk&fL&{yvYk_vlQkSu#w zkSu#wkSu#wkSu#wkSu#wkTi~W1pYnf^JYnjm^tN{8Ije-2N z%(zrb{#s`H<&dfK*D~{Lw5{{kGV@v1`D>YlEbIKW%uck0&R@&y%(Bj3%k09k&R@$c zVww4C?0eo;%&<_q&J&^B}93|pmrxxm4AUossvHuR(#OkseGgLjJywz?d z>iAKuuVR#nBwy$mMbd$T0k3B2+B1r{s|l}KaysFgp-g-T5_-lJiZp7m5pcJw(O7aw zk`gT}-SSZf75}_ickc8)0#Wz7QOK=D;U*L&p-?=)FjayHAH_`ucdf?Z z$#_XoNt~G!>7-kYQpBawJ!p!}h6DM6^1-PA15x@pP->=CHjEY+yvq>DUy%ZtYCRb{ z-16~)I2 z1|G`V?l3FydxQNIus=@2wlpx+quNTet4%@{{>Uas9x^0MHqru)80@FO9`vzRV$@L0 zMZt&tdUE-KPd6lF(^2Q%}?KLYei(ybI6LQfc0`7=@hy(g4{{17sY9%GYS3 zCi=rJ!!aFYepKsm*mU)h^v)*6iHBjda$dEoroX|YpAqCOyjshV96cPMWN@w>5AI6= zR>(`))=~IV0DJ!oV>KT#W6sR8lc>ZP92}|(ZaH)YN{qLg_N_rmW%RH*UMFYG=9P8{ zZXr-LL#pI1;=(+u_!A?djlV58Q%i=dRC_QdH)>Quj`^8ZJ9e^?PvxjfsHinev!$#s z6VXLm;SyTmEA&KL;SyS5&JG$Zzp&+z@8G-?%9#<^H0Y>fP((ne_t#}f9D0TNj2<<%vKP^zz%SI%njRmys{ur1<%_iWB zZ#B4dHDC1y?RbPrlK^bh^fAO2LY?BFBem2v`pvennCLII5`cW@92m;g@k^U;b74uOWtUY9qd< z!$aWr46*fwt5~g3;%AaLB6c&3Sc%&bq)zls|O{SheoOd0)@>Bb@Jl z$KdK-1SRVIoze~i>?2vw|0JkR)D!wEPG}6Rp6y=~)n^>0;?GRu z-c;?!b}Z{;Do6eVzd!Qo@fAZ z&%vAD|i;K4hhysomIU{LoH;7M~s&0}U=@E~^5b~p17sB6)WIxeO(W#z> zfx61`BAVk{z(ssQM1yn311&FE?JhUxR{$O?kBpQ^16?KnK8veN4}o_99wN(3sceXc zP6S@OvP|$>0S}Rtrd;6YB%FifF_IPP@=-}qQU>*7(@lt$j~cJ`Bd*J!P6JbM$WW&b z>bliQA!h*FfKv4?7-L}`8^xyl7-@3@*b`$6dqz(@Py)d)Ww_y9a!Ydrvn8_HB)W5-4)Kvq&2%Z~c?f#W zMrQ}hVpgTNn!O4|hjMysOX^|mJ9vpa+|>Pn5n0)4l(tfubQT<;+FzMWHbbw z&AI?F_nBc{4e@j%P^B^^5>FZ|k0O_uMzCrn@&g0%kgiXAj76RZ!c#1XAA9|T_=85k zMhF;b6yu0SF(c4ynt zDbzga2-IC-!jS(^<#Dr?0I~ z3M$>F3)kpcPHsA=*_lOGTWhTz{fqd73HLP8ggZvlb`&{i;uiHDn$XKpfkFDq(L0{Y zT8XnqYer5D2i!adtcJ^Us@L@#;hs{jH%feE4d)8HZCQY1oF)lj?~I8r=(_^wg+@ZN z@M4}lZIJYl?E&PBD0aM&jaCnKnkQqrOX5RA&Dr;`p*EAN!8ek;Su|mrzS7m-(WEga z@PLfo?S=4I6vEcYhL~~#6ZSwLn7|fzA~Rs51iXSqg6R`OOg$T$F7=pKfyVraIV_;n zkB&kZZ#jau3TE<8C*iT6=|RvcKJ(~W?h0s0KN$1?=hVyMdYcW)V$}1aT1yah^_+TH z=F~9wot`6_<9x#MRM{(vw7(;K1)cpBaqe!%!MSA){$AjXgk=uCCh#8#%N+cJ%)xH~ z>sj_k(P+#xZ{_<){lRD_!G5a&n1Q6;P>*)PH4HaV8P0`fXm=LhYiQEvhli)D&?z}T z%yjsTVaSl)L-70R9}ujk!|x5!pANNtfG&Q{;J9y~M{wCPE-!JcfvW*7l6Yx3sFCLR zOuF~6;gdg9Ee8Klv1jnl#Nd~}0NUW1qhh*e@Xy8I7XTh`HG;PKQjr%&zl{UBvxOsS zhrqiw4%opV{=2$M;LRHc?;`y_M1yz1KRBECe+qoc@qjmw|0{uSC(Mjy^|ip=P5{gw z{?s=DF9keI2KZYU&|xyTmW?204UmCVHm_KnmLnVVz}hy$_aDJGT<-iFft{AmHVl59 z?S=$S%rL9^6=RbiN4)1icd@VQVj|!RASk}WAk#;9jTsn@@M^G1sQZ~Ah3fKA-RyTf zSzTsA){94#)sm7GZh33Nl_99bo50Yxq=?DE)`13&Yz0VN&lc={n3R3hWddwjGNt7^MHl00U z@P22jZNB7E4EF=75FeiuE2JCl-9Tp<)TFazhSY*4s>a?+IUJ&UAxC$%pP^vVfEsLn z?zI$dKug<>+R`_HmYThm1{%`Mlrzxoh!tB}S_V0K5ItdjzlPY0NJWQun5|uX)YjUY zd$%-Co-!c`u?ThYjTTR^cR`jm@JxgB4P0eJVBMKJHYqH;w|@>C{w|A?6HRaqa_Jmy zB|Zp{{#}#eZfql>S~{(hk*lo4duG%qxU9Pf<{H+9mTs8v28E>4G%0S*zAISOT8S5p zO&C=-YFZOnWkaANYq;eQXJz6&LrlT9{MS01YHY;y`&UM;dDMEJ=Z=XrhUmvV@d9K` z6myC^V!4G73U95J3yLwKA;Q@a|IYdxV_R9Kl2Ep)*z-g;~`{5=YvMl)d~PUs`Z{y zZ(VAzc_QvU+gq13$0owE5ooZv5qO=j9EoS!yxmzc$6MIvN((yxOSq_$_{@p_G#%ub=}B;4D&XG!c&p~HLGS>W zs5i}XH+xu9iPPzqDR7Iq+)(iB(XmHhO6mPBw|h0~49y)Bx!C|G0~p~m;0zXMYPMgG zNy+2o?FPwh@8$r~=wXgWi5CnR{d$ifGd_9H_v;T0Q3VF+nV_tc#tF}??Du;?8oe_e zU?mETZ68H9Y`6yOM@1`4H8rTv)!#YJCTasjQ`3nWyb(%F3J{%{PV~4>G}91qj&9dP zxHR+7#fN6FQMJ2dbi8*iGxB&m-rf+HoR95n8A?B>xV=8%ERlPLj z8n1FWDSPY6m0soRq?9*@#GKQ-$}bW4Ro{gWA9GIiD(8_>o`{V(i@nO{N!d?RE-;lN zH;0f8A9A{7PYxjO7Dur#N;kl#m*ZzG1lJ?PFp!O637ow0t>@Fr@olsZ zuNsXd`1Epo3o98|b>&#*pN(cZT#o-VIWNh?f>u4BCeIwoQ~{pxgk=;VJt}P9O7dy) z%)yK^+U!ih8O1NF{#{(PW%Z)o3=^aFkiNbK&K7SbFRy__cdMR>g_-h%_=T+GKv?6L z!`A*y#aSV~fc#NdFE7CWWClNZE~I58IDN%F*7z!Py?u9EchA~^xEQkdjJe5W`vx{; zE?e8bNm~LB_}_jxTG)-aSD|BRS!?0Xi(spxyaw$uPz63x!8GHR2H1jC7&XI`}y#uu5$V7CGOct{$B7q#4NcV+QNpfT& zfgG7g30avVvlIVk2i_|c$284Z4<@^jnzXlaPcA^16*->sp8zyJstP6`JuROO>udn5Mg zUer_caBym!#u9uKVCOD|i4Rb9=^Fs7Tt+K3os>ya|02r#sMdcVs^q;(rKfXd9D=B# z!vtr@ptfAloX_+n4UU)xtY|!w8api)HWy8!X--@y;3dsP@=Klpw>RhWb!2K5CGQ1q zeuBgT#!kleQ*LV;$lC{CegmmTTD=%ymMNvY%Y>9J&B#t??NJW z9>P6}+2B6dnd?JzhR7xtx-4mN{EJTi9r*k|IQ=&zn4}$2*3I0iw4iqH9<^E;JTr*ZD`} zXwZ#dxYN|L(G{N5BLS&UQ0^eD9!~~HFZD>Pef?fGXimO8rUf`qk3R+K9}TqpO`!gz z6n)2>W3(4gBkAM8ls4g(uW*-)TU72fqqi`8mvXNey;X|bYr68RavAp=t~|^@eah*n zR!{CZGTv15y7Eg|Q^w7lJ!>I~G)y@Q7-kZm6dWZQ>=+4iAewtdJ}dtd^+ZwUpn?L)zA z`%p03J`~Kh4+XRBL&0qOP%zs*6wJ2A9|0bZM)m7kL&0qOP%zs*6wJ2ApGH~_9{u{( zP%zs*6wI~{1+(o#!EF0bFxx&9%(f4uW!r~LwtXm-Z669`+lK<#_M!h9+4gC9_Tkj) zTf>1o`*3=my}V#1lFF@*NN#;Q+O!=fMwX=3N9$BRB2l(ZtF13hsl3JfFsrA{CTA!^`>*aN@(MOy!K$QAJ^U(qL;oMoL_@Ai5dWu02@_GVe9*1LUJ zj#{}-Lqf=%IUJ!W`+k(I>?`r5vL&@XJB--mWJ_v&wxrf)OKN?#q}FFkYJK)X#HUzx zFtt8*+&YMp)cRN;wLTU|t&as#>tkuD^|2GDGa&Mv0 zPOXmxUJV-yyc#yPggaE7S|4jX4`rQNA6v?PK)Jz=BCe#w(E8QKw%cAlPn6N8W68l$24) z7wV`QyoA|~YBo?advYOjrm#rWu*lDD$IQ_hU)ddQG5SzgghvHIa(A zrvQ{R&PfDk5R_z_$pm*3lpm_5TnygV02WF%@G)#lO)FUcUv;6s?t1jPBl{e=I?anJS^9`D>6hqL8VQ+l*-O0C|x;~;OzvZE6WHn!%cT(IlIz- zk=K>efM8c{|DLYw=4jOXpLB&6Dry_W?=!N0jWR!~wHyn$ejn*Jde}y&lP{LtM$8|n z-3|1X^Z4FTd-c^_Y-qEgPWjSf!07?Fi@tO+G|>aFk0!nbV8QJ{#o9Xc zK%?7#)1>K(Rd9hc-c{&2YdsMb$?h2NpVJD`%b z*}GKu6@Uf34bxEr!Qi9lbd(Uli#YW~D@0ZsX$TDRQLX$5FMkt_Dx0d(Mp)%4f=5WN zrdeHiw})q@2~IQY@HfN02<+X&IVZ`_+9!eE>dGrOHBBm38kSppmWvS5x=W7WG)4cu z3M|EPI#@P|R*wQ1H5;$6j&n2>4J%TE{^<%S^+U{zA z<(pxY47t=U=RqSwF135|@;It5k2!@a=uj10-SijN$O`#lh|{HG*q;P%WP9?OIIORU ztH~{2!%;#g7>xe{@4T$!wRpTn?$0ae6}{jX@Q9E#6K-V=6{AoghYDkbgW%!kJao%; zqh}*nIQsRJeNk)U=Ok!l+tI&*&JIO40dK1auYPSi{4K`K{7nd3|4j(zN)YJxQaY=E z)$gT*V63coI+-=*P~ik$QF*(x6^TKtL(@d7A4QG+^APUmBT*ywqHf@P;RenZZs2_3 z2F@35;C$f*&KGX*eBlPq7jE!;;ReqaZt#5J2G19+tD2ypz87^f!dzHo!*3paSaaD(RyH+a5qYZND~ z{__y-K$+qC&qKI_)ir3x+>5&AeBth5C*@w$-OZxD7j>^+&uVu)eBZrkA*y)?ITm#h zmt!ao{ZMTab|WrFQ)+MaYI!fZ7l8ir5PVx@RKL0G*QnGlrgScbU+EW9Iyb--WAaQc zoQ@YhP6_-fW6Gsy4xYA#9_W4z)~sy&S%BW~ssGWR1=vRh{bvEFq4#G2q}u#h0A7oE ze-?o6%=P{(0L$K=1z_3xvj7KB_WmpY%if;_VA=b#04(#d%17~k&gW=16z|Rp(DrAd zY_)#}_EqfEkmw!g|hv>W2{t0lY_P<00(0pB7DBe2{RP8^*ytdkRE+qOk z&T%U@a5r2Hl02SM?MC*s18zwXQ`EKBqDsvoQtl_OrKc3ET!X&?`(vW4j@=MO)C~4f zZ6euFAI_^_TQk@VE1v;R?Gmz|gRBs58QZlGh{8pyke4lpLP;Cn#h=e~V?t1{&QjNt z(&}_SMBAOkS)CC2-}yJXf{Jt=gT@T9%l!E(ymIFbK-ygt>0#4*@SV#)8|73|rc;CJ zy!R1Vol15)?@vCegO&RL#D{v^gL;QQr{%pQF!}NZNRbWa4MW4B9<&SYe-ZrEieeK{ z!;s65YGrOtS9#6M3O3Wa6yQFHP%F5LC_5D^^y#Odqh}Dj4q$h&#hK)bIh*$^5IM!m z$+C(xG3T8dO=Tr3S99IKDr6{2+OcvCD_fUitv-Wb6B(@^;BpNuttBvXCDv#<$K6Kb zkI{YAayeQpD@FBvz?Z*aa4+U#5#m!^J-O5tZ%K+yJ#o#q5%tHX)aZfkmQzj3UG*2VT)~zzoI2CM&P2H(NmszbLjgYd z3Pnd5ML#l%VqYQAC5&x^#GFo6CEdK*$SaW!pxM^zK~a_j>ML}(*Ax`yVy^X08bYkT z^5OMm14Y=ysaAjbN5Yf=QzSgTnoAL{8~2w7Xn`Ln_w!6kY2>Uho$a1WTdU&M8`+9GPP6Z?c7C92PW-0kAm-d0BrXW>`P&HF}O}`!ZhKZ z@KARz1NYYe3lO93p$U4;I(;l9hD8Mk<3lGvqZZ^>4#i9WM*iA0Sakq&Uh=XyjV14R4-5dle|t%j)l z*Z@(0V4tTh-jR=)SZqwZ5@OWv{}bP3<*06gxym}Du$OkLG(=BADY|ks;vX0FW>XJS z$Va_12)oI$2A~d7z%eKsW}zO10tBS;9~zD~MUwo^Wes8Qjm^+{@1hRs)L z3%rgDeB2Omo`0N9^jmMn416&_l#huWaJ227qYZpJKr}3!=n-Gi-vdPR(}_;?B$anL zx^0L0wvD(KfLGqV9&s;ckvrpIufYK7L^aK@m!Xay)q1c!E(>MhJ5d(F*)ZGizoEyV zW@LJZ@VlCuaK~n(T}oJMP>gG90guEIHe0BNa7N8EjU1x)%vH%8q}OpujkX7HLY1Fq z@MbbO8KZ5k3>gn7>=Pa}$g8OyKDUB_YDnB7W;-j6GN1BNrh=y7W!5Jb6t15(#TE~ty`3;T0lB^$=o4*;|K5fYg#9!(5 zHo)Z{AFU0eLK00_v+<43T=~ZPgxLgdNYp}g$n<6C7lapy(04a`jWh~ez6Fg;H$vD~ zzayrRTDbUq#$d!>1fiP78aT%&N7vt-svoq#$U7GG4+n924|O%yQ38ML z!BK0NgQZE`tTr1#D=~)rsMd?}J;%CP9BUamBa77ChO~pG#4blI&NTt%6ZZI*h9Et_ zEI2=D7(~i5h6t+2N8PXZJDr57u3I7HwWCV8Xlqi_YhC`-R>}kFV^7K?O8MxhQhu5) z<#1am530d=Mwcc^3C+>1ruXRXbSbVO@_Y1<;$uY8qurF!>8MhkOqb#j`PTgp^>a_k zuPCMOQKh`(OOYkSBT6Fdsr@PhX`;v9At5p0s1n}rCCKqms+8@%|B5aiQIV(1?doMu%0^1L<)~7YZA}`6KKl%T zS20S?2YY4RRVPX#_qA2^PF0U7V9uF`j7B@l4;-~E4{upXF5w3a(PrBJE@gzAV-(t1 z{@ziAcz9|Z?~AYNpny!=Z>{2AFwRumiJF-6xUq6OPSVkv(O~ozUaO7P`6^OmzKZ^v zPm)z^OCkyny<-+a@)&)6Q=bc|8ISV@AcQqz48L$-B0QG2!&x;YtPXIDn}DrL=I_+C zAY{}TGY|!9JpUeaRu#`^X~Zu=wRS5MFGqNa&^TV*XtoJlLhN>mS2mP$4QVJ@(E3ZR zWXAmy6q$#lRc>ulMAlel#bhNY$#iNWw{MR8*>5V&otj{z^$ylV{9!38)QQ(N)Qfu< zt(V`hHHpbQnQtm?X{0G@++-Bn9V>sMml0WWtfZ=J1Al59qS~Dzizp+ru9cT`TE7H7 zWSxRqbEiJJP~WM4JSRzB>ni!iHn9t=k#<>*3#?@;*5H;h{3ZHiZr4M++qC=71y`Gk zc6}co<{MO1;WXgewxC)gZp1^XnbCugswr>9Jc@pSPH3>(xzP4a%IQowR{%u0y(-Vj zi#u6evSxN{uX4~MyTEd?hQ)`+Cm~2VW%zTbb-<&)rU*^(-jq1ZiNCuQ7piC;Hl*=5 znG~4ZcD{sKki~kUp$tq!i#)u{@?sevXV5w=U7Qus+~V1T2@ml{rycQrCa>24hZN_0>44kw;eL+w>=G+B5} zy{J^uRrSwfO+$RP^rJ@@@1d}vTPX`z0xsIBForCa|8T3a?826MV0%^>K3`IWFk*NU z1BJ1Y|Ji_h#lg=6!QN5><~}K9ilx!MRi>L2or*CKRendsE@=w)OWBaZt5XId*gpWG zp&Ec@fZ3%10T9FkQy?BN&d@4^@sO7_*aHx+XrSZO%#JXSI6y7?Sp+SI#!<@=8)oES z2<wfE-hTXmmut!XjWK`LI3q3`-HC0z?p<)FvZlbU+%o>cNHQ&^R0jb>1`@)rp=u zHdw)?$EEDJB8x6FLdJZ2SiFCNkA*vF%tXIR46iFE1uJ#cWWP#0QCCipN-?)5Z)%m5 zng>+z7{9_Wrbh^l^#4wTNDmIUXV9iYobZA}rWYLA>EM7Efd~-{2{~R!XrDgybG?v| zmzr^mCmn(zA>TKdnd>?v6r|i4@d0CI6{ZuWIe({AY@nwxRG2_YFc2&V7Qr1n=Lgu; z_;j2O-YwwOG6TEo*kA4@$7I%iqXZCQaMpPuwRQ@%(~tO)1=Facde zHR^)D8srTILWQ1Ey;E-9CnZU{dEb%vx*1uryunGuQv@hZxfGl=4oB+ooi$0;FwTWCZ!r=FW%TZ;qi{vr ziIDL88;%*60liY)Oq-FtQ+;JSeT;_Kv=Ho@@?Fk?ssIRVzf=#1Dg!8uaX zcA^dNX39W4aR;Sl=->dYjP($20j~A>#!(uYnqtFzABW}Bx`*^o(a9`rBTNU((l#;x zqCa(}1BQ51YP4vJWKb=vYZ58Y?Rp~wCe!nKjMj#;cc599#_G8pSkJr_X1uR@JQxhp z);4b{r&c$A%BQVu9^GWUNL6%V^Z2VUsU~zp`TuJK2u}H2Z_4NS@j~Wq+XxU`!u}__ z+SIb|MTPWzZEB{c`CC!CzXdk8bRk)_Nbl{%WYr?(`_`@Ri3o7Jvf!wu>3|RsTvfS} zdD9YnT*(4!t{*Nqr7D9mC&{+j-yZieJu{I3dtoPw4$he$SmFpWYIrT8Z&iVbBV*Jr zHP@@XFwmbwW}7NRHL2;%ZEs*h>O~A+SqZmkCKw#xfCfX1LS|y)@5^X;j7&oV4NJ7) zZrHS@_rmOo2^b?}kA?H27diY%U#GPRPI|--9>kKqo>+kO+C4f|6`b_=ODRFO)3hd< zP>0lhU~KvfPsJ5KFr?y&RQ*3ZHxJ8^n=`f;+BrbzX=lNJ%YwE)3@PKe{K>4NVe6~QWxJdvsldS+T+;F=P= z7NJG{pE>mStAWhrY;X7Y-(8JJ$Z320@ogbH=d^*(54aROtiT%>oPh$4QKX$ zp8ysz=sj4Cj3QT=cV=?-pQwTDo*$D4g}a~aEg3)hmlei=MrzZPrF{@ z8LGzHeGJftkb$1A9Hc?1DfrzJNuawDXlnIoY^Z71%((yhToRbLJePP=6UOE4!hkrH zP&dxQQ32sFoSa9zi@nr68wOL)(xdMt^ei14bP>JJNZUhrlUna0sEWUL37kxVD@5vS zl6H=nEeBQbk zzYN&cMc4=`_0Oz!_*N)eg!?tEUe#~eibbb2End=ZUG3ocg9r9KZP|*Zb$yqvXzI6c z*_zc>kR%Q0ciOUrO{*97?O#=`>jC#$x@ggNsFYfkeS7B61XhAP%29m(*MnU>$QVi}asWr&=A+8L)1l26P&Sdo;HvsdBGkj}l$lM#pCvFz!} zIkM@FeRIwoIjjF)&v(|`;bc}hYj@aDjGGm+iwg|fM__ZDw>DLQZJ%1>tZ8u8ji?@W z%uuJp8t1es!L1S89nRVNw>VAPosM_7!?(>DR=o`Ey}0QuAh+506EOERH#onr8bcxH z(Pm03a<@9CR5hKvvvt`#=aEfoPT09~=dNdFjl9ZTI(+2AQ?7KU+`e?%tff~jU9!Ao zid%Nu#JZ`&=FGl*DFpmPxs*`oZk_LLd!^~8sG7eY75&{tXHtVR;EvTxXFKZ}oCBNI zY-!s0``^1uohOw07Fj1YfUeT58tLRUJUnBm^U|gU=SJn`f&x z4TYTxH?2X{XzKc)y+bM{Z$nLzH4oTTC_cM!1j_sE2IphT&4nb#?T6}@Hb@U2aCW%+ z06w*WHs0^-kTQqxVTYoBxxp@1fSz#NT$lEKWCQK}wBt5B0_Z_4&dY z?tZ7$h7a{}M@#|vV;gCfC+r3`|JX(jsm-PY&!j@9n8M^-;8+V*YWf3JY}r428G_@aKm0~ODO+y<2IU(YdrE>yMbFdXbalQXQrndFWTez!PsCkt*K zzqc&iqiaV*zI3{|+ad0@^_28BwYw7FwOZTF&W_d39$q`~+|%aVbD~=}hhF)g8#oBN zVKeQOlOxXdX1Cbcik?%dbL%Dyp7RTZK>%z!8FmjTY}qM|!tfF2<@HcmIq%y$4!a|r ze_(d7QP>=nFW5U~Z=;EC+X$UR_c+Tr|u;|}Lb^_KI3fa16S%qM0ML5^zST3|I$kHFD+b*^*S&gWl1tC z4D=1GFy3N<^~fwXC~>ior37Vv#lp(;uPiIxLIu{NEhJhxgktGH8Na04+Y@FD=%d_1 z=bRD!`cA$OHXlUaK%hj46XDnf=WL8uw??Pwt-ZrfzRFqQ7Ef|ZCe7(n*U!n{e|YM) zUCW#`?g-F#+6wxWaE5K}-2gKr#I2>I49wlxqaK*j)c2U#PSa#3UiH|8+lD*mG>-X? z&n_4~(uwUj&S~2J%mvrp=bVVf;**`G2B)gg?Qy|pPJ8XyUpi;F2c9_}!uB`QIh6CP z{$}C{s=bb0l3@4PIcA8&MZ{+7ob=DV zCv9+6j#%a7H#nfr3^)rbPd1{=nUkID zhSxx|O2T!PJ7V^^;vQ~8#L3+6);TqwUMc<&6X&rjIxLyx)?Lr4zeNy?BhGcroB{7x zJ62!6)-7y3b(xc~RYdH-w;gHW_mU}YM~T%xZ06GOwT0GfXIt|D0Ou;V_}QNe$9}#y z(RNM^|aOCyv{XXbF;hkYIi;;vbftr0jt?1;G4ZU<-WRstjwh=3sE@fLw{+(EX z`S9PLchX`)5C|ThI0_->#$YH;{pUXQdc-ic@RlKH1EIo%5gII!tuC_R`!O&uH% zw{)P>RQf1{N=A(0AxRc2=NU)6y?p6#xa?aS7!P(jFZZ1?(mDGM=dT;w19|Q<{qJdk zD=d5a6--Erl))8$K*j?gzyr?1ki<5(m_F44TvbayksMyp!kRnAg&Lx z8du$Qe+z;OA`8D$Rp(pxcHP^6es=et->*N()VEHZI(6#QsZ)>J4`Ho^D5=~qcE{Myl;&KsA|t23 z78zS!5KZOfPI(s*_Ndd>k44$wA1ZvGqQ634UAl@&Xm7@WYlm}r(=x}U|6dan3YIQ& zrqcTQff#N3r%rPwJiS7;c!#ro*}Xcl8092=#rDfSLejpoS#C@3YoL9l568)tH zT4zVf&N`aeC(~}P#dX$S+`Zz$OQv1*iZf}z85$toM>or3#6LHXRZk$kp^Kf~WzG|# zg<{juwA*Ms?{`k&;DwjmG`(rs)H%+HEmu>_Xj=A@=P#;cpI4UHHFoExS2itjPCNMR zYL(JFN$HpI=HAWnyzrxjM--OoeZS#pXAPbGCT??{qCLRZob?OmQoLBW%Gpr-H?m{wHYIUhV9f*|fx&NFqlTQqn@ps55cl^2PB* zm#t~q-+0<_-zDDfZItoz0XhguEDuc&Iy;=fZO-u*6Xh9og!~7mX<^e<&Mh02%Cpqo z=rKp?@uaFjn?uK$CEaI{tTcdL><@#MoT&e3F)=yTGk=+Lo&GPHL*x@qQ&3$}e|+EqKr znVj*@RY{%KuaLdEVa%?7dH!*l#E)&SzTEU4XW7$LnzYNVb%tp0$lO<`I}bXP(9!0I zgH0ziO?p)B8=s_Api#U`vFWeAAp6VL0v+>JaOJq~zYTk*qlXI8p^>?EKj)9e{wQ4& znepwf&dCkUAF3|D@~bo-)S>jEn-{B1|HVzm9dnhMKnurSHhg@gaoReb| zp<8JCc698PZ>KN$^_a%%XcR7&r_Lj34lGx7nmU`*v}-zsX4{HIXGfd~JH9o&cf0cR z@!K9>^lN93?xvPSuZ}O8v*_%_#j6`9A6q36|FDHNG9+)GXgsUonX0p7&vGZX=lP#B zO>vIj_4sn<1Iw=3zTCNE%fUyRPHCjC3T(JdjpG}2$MG*7q~KNNyT1~ZS2-IFI^%aZ6UvJkPd~w#xU7pVCeCc=TK>1r zQGbx6z6R%PnwDoAJZ8?)>Gyu|ns0T{>NsyS#v?G)1d*#|)me10@ag$UW`@J!=XP~z?G7SX=EE*xNrM=OA(C6#V zYMOc7bRgI<(GYsc1;oDYor?;d8}x3zE4YPSJTXIG)|u6jBlAU zIOvoH_ROuUUA}zzWurH%fqZ1_UBvivAy>xFY?1eyKNnIuT?jTWozpa@=@dHm5cN4l z{k*;rdQM2KzOZFkY~^{gvMDOQb&Fg9ZlcY`y>e|)JBYg*wqC=P@-R{YdZSR&UtF-{DsGG-N{JD#06Y7j>8KsPK*u@ugE?P7rG3TPi7j`=59CRL{EO<<-YV~Z zA5_=(-)&SEQ(snh$KRvdNh*0jWxlU7Us4%)Z%ifktIUrZX~RvqJ)D#GS9??se@tKQ zr82VX-)L-%O14K8+f%xsht<=8r|4Ngqx0~lU5$rRn7W)MWTQBr{=V(DfIdH}FHKC0 zQ6Yh1iMl6Q5s^SRlNye@e8&3GXP$A!JzZHobb_+7E?oW z=o#MB*;F{#Jax&;=BWdvax%4M>PWFr&ZNqPq9|oIWaJto2C~KBwaH>ebS-gXiTU$8 zmQgbU!)1}qmQsa$p4i=VW;C0k@4J{cKjFsWt?_m@IWjV@J>J^3K)4-C+){Eha zs*(_mNV@!-QSc z#{nu@W7u=a>@X=&&J+idsSN9umDo+@%5FY6oRJli6tt7&LN>sl_1ESaB^%S&(ZZwd zlhvqD<-GhNrlDlXO%?}5ZdjT;nNHIel$1n!Piw5*jkaFej#{Z)fy^mKjT~i7rbrD^ zH~M30jQq{W$Dp-#i*`iY#YnO!uL9KYjV5!IOf65>lDIp6Nuq0>=xT4D7jvUM?b4+x zWP$TtvKqBJzk~cD8&eN@g|Y9vOfI=z^rzDEbhj$`Qg$$(NjH<7i?wZZEUB@Qwh(o< zkRvzLKA;UsJs@4vg|&G#zBNwJ(be19*)fl7)6=?GwL3pSwk)kLrINXvTgnykgQW1{ zCG*A#b3;i%1&B&2LN$!8B18lNbf&-BDnUXe3*OIPw z;wzR5m7El+=Xw9UOes~&j!=||%K+ojET^a9*}OZT=CeqzZR=^%9!Jw(y9r00{>904 zQ3_O7XUA!d0iF1G#J`9zyap@&t}HH0e^pE#%T}VPJshf(WBxpps9i z8C0y~<&Ka-Lm^#Bl?4UBemMiRYnX_e8WPgun$;hgECTg-&aaIZlljs>rYH>qz4T0} z=`My{vbf3qLeY4uRN2HK6?=$6!!oEM0G&{*=@BQZB%<;5dCJH-6w4S7-^;k=f?SWJ z1aFK-D)d$n9UV%v9wl?i2swHKgan=fCHh*|+=wW1uUxqvsfOqq&veA6!7QPpCPaG; zQOl_z6ALrz-o@uF!n-`jSoe>M&a_l#@B( zDo?95PP}7r`;tVwmM9}%ED?<^>5(=|XQ;aUtjnyaTh)=pN8FFt3c$flz_Womj)vvhI$Vp2uTsxtc; zW*1#i%PH8?PTPY-SF~qIyuB^1{ffd$WkkD{TN`c zUL`2*(z+s)hRxFYatw=wLRpkY-F%5g%N;Ez`*RtD8d)(S^Zc9*tx#N8fpChDO(P|Re63Nmj0dO08ENK@rOxpN~& zE$Zl)OsPdp7E05y4dgfcNRkX96uTwlUF{142ilf&&F{E0(bF22n=gyuQWYp#U zNkUG+lUqBBON5m5Y-tLxv$w25o8N0Z3ay9m3L;5w+u%T_?g! z%xjOe^>jqzOL|b%*jUbPsGD7!%vb2>P_7ioeEn_egi|Rd zrGxaUy?8dtF_Zj=c67SO%t5YCZbd2XdDg%5N-(XzOfm?Q!R~ zlW+I5i~e>VmR3H}WtE2NFlkkkQ=)dx`23KLj4sVdIW_xfWFfUAvNdibMx;yo>al@w zp!DWBd0M$Z>!%=>8BoJ3<<1IjA64Jx$*ZtOo zomgc!v762oX;UPR19HOB;xA9-?m#k|L#rOE=NR%xEr<0Cm4V848;H1|+Zl*hRc}OUy(=$hH>A0V2ItI1y4V>PZ`&4w3 zrBpT>(1ebM3*7m12qs_a$&lQVac;kzTj$NA-B}C<@J%kOYw_jLcDJpQwotSt4ra6& z!gzXVHc-Ih3x&*Zp}5|q!>wHW4SQQhw43hsZR8dVE=prX zIV~2uf`CBpq({8+fTynt^l`X9uB6k7ytX};8K$K&CXXlNM#Zw#3~e}7@B$+oz;)** zy4vKz<+@sFT8e2|SE`Es`PpKr%)BwWJxj|dDE&n1L3_$kv+KtwD8tGfa!)TEFfOko z(=+AHT#z5HEfn>^Or7}TDI+V74Wyj%8A@SUEuS|v`t}J;s<5Uv5ftHv=|H8Ntdtp) z7SKVTc-iLJVH(`vK81IdTxy*wHMfZ49>okDwh)SzDeiK&0BNLY`Jhc^|6R2kxk}8X!+6UdL!XOJzs0 za_7YA4AGSe1ys62EtN-T6U~CjpZe*(gD(w~+mI`D^|LeKSUXTC(v6@BpE68{VcNH5 zM{-$`s1+nl$kj_3@@2Xkq&-z;tuX{$Q_kE;e&wxteJa^guWqY&v#SGF=Ikz6|Ho{|psv@>PV2AERboTbb09 zVaq&~n9gq(Ud?HPNC4&yDF!&gq%nfNSG|hdT8|NMy&)w4uQjBYdg$T%10|LkmN;O+ zka_{ptW+Xyl<>sIeUcT-vJ6yg5YbU4K{+DXwR)HZnluQb6a+Si-g>oQM4vsqS^)zj zxh;<+pbkFUli<(zkYKsU!0uE15|P`%RO$@ioi&WeJ>@Y1K3Btt+`jXT5vM~yqg0O0^qyLc73 zpRtoPh#25Y&AMlr5xGAyl^6lxDVh;EI14cXViYu^$r)3}#xT6VXXf5j(%L`FEUwI7R$Rq*agC z(i+4nz`G173b^Sc!?l$mW;0a;Jj0v9fY6J${t~UmzZdQG0iMaEMsZFR_&>P!4WjQA zhKI58jpE!Y@Pnp9eSmun+w3av4?H%&-x{`ARp9l;7JaaV*UP@Yq?embgD&9prokCi z;4P*>H`t(SH+b_2+H{}el$M!S7&>N_MlWQUZANzVNuHWNG*dKs6-UsUOeBr2;dr;! zgu>_^o*a*GN-Y9DZb;ovaBTU82|IPyn~UDByCA(Dc$5_#D@vm2trM!S#C=Scyub%7 zUgT5UGsz2#zy!I-!>1)LFhUM^kw@H-yuetkz>7SVEXfOu1q-~$W7U$pz*w`ui#!%C z$qS5S3%tlG9mgcuYspGkKx(pllJsxTbjLUQj_~O z|110JJZ~)o#4@S)-r{ojqaqqd!~}z{D5AZ=R76ZL_=+OJVc;u@Xpi7@HorFvJ%HD7k*bHxPBUCRfRFk4koktk zhuC$ZF-*@FcyhrO4Wh?ZtjGe;3Yin|YhHujrF|8*dhuDGc|~1far*lKAW|iYqX65&NrkUKwk&;nGQ@c z=3WMf4#+jow)fHO$=-zN2E@csc0{m5{~JWNE$VC*b%8fI03%Ft#7ILGnJ$knC_P|R zJHXJl1Rdy)C>;WwI>L(Z#QV??^X_LK#MJYbVH)1f>z=>N4(I+d%v4NLf0-TJ{biW9 zn6mydOkadyf0^pF@6RRN--Vpgqyg+^(&561-o0AY89U`rUtI;~GuHwmpc?`fdnCIS zxG{R9;(~NUaaBXiY|28+Y|28+Y|28+Y|28+Y|28+Y|28+Y|8BZevwTvo?VFg``Zln z_kB$B*}|0Bdj@|!V~fdJkiQJJz@FY;X7B0!W%eH4UuN&w{bjHr_T>ID*bsYge;F>7 z&f+bbzYJGPogrl_ndWnUW3!NPF=h6+;1K9dAI7r zZ2OS*O_?p{6t0h*Kgn4(z;f(+5r3I|58^Ki8DAqaWPD9o$oQJFknuHTA>(VxLdMsW zg^aH$3mIQi7BYsWEMyE#nQi;`uog-Euyy(z7k%Ahxt>Gs z&79JX!Pe|a=Kh&itHRuy>(}}@bN|V!1@rIouKi%WHJ%{Af*}PqnDCod%|oE^Uu!hC zTPLyjF+x%?2Dm!ZRJ)Im_y9|66q{@o0bS8~^74Iy5ye)unIGW8_4mvnyBqKqOsW~p zL*QcHHy0p*UO&ba|H;UVqSiB}R$%m=VQ$=ClGa-X>S!I~JD{SuD~jT}@AKZ6*?nzc zZd{L03ucwLeX?%Kw~;nw*v()z`|H^^lKwKN1b6b6h3pJXd)Uq3uDEE%$8pJ>P-kv-NmyVP5zx$(^3krVjYx>zEH--GLR}HBT@J%Mk2UUqGa_tS8Ob=AJJdm8h6nZud_-8{}1qgxqIT&)D_*DV9 zOn^*^p@5ee@h%_)(XsZiE$=L<2cmrS9;#apjmV=maNg(;D=~bRk-m%0DT)N%n)n--o!*l}Rs z2H`TlNzn765flYPuc_7pT>7ZT1-RFcT(s;Me+@JTjo!~JG|gVfN0f*v3o=QRd4`O* z1mHIu%|*A>fd9oLJ#~_- zbH6vutqjUu3EAjj$fY+-g)aHKx6jzB2k-?$iUPt26nS=SvGr0!TQfz3Zs@ln+C4wO z&Ase3`yMvJWMhozAj8cb=^2JU^GMKQj4^Z+!*H}mf?6N&NOKu>c_drUCs{w(f>sMy z;%PHNeb4~S5*M0-6+)I7nBJ4U3bMV?Ulo+SDkyuEt@n3XIS8VVu%KQ@fcHJlCiU~a z$TTSCFSFZ3S7BD-{Xik2xB`<8b(b=r6&x_dBpq{*+wL&}ezJyfz)Iy^tGLX|6xYY>jL6bv1 zHdIAAo`F|w*#ah^A9V2#Nn5yxNPvH9NHIVtA96tZ7;|F<94Fu2(0poB^J!I(U;?rv zAO!okK|)*O#0Ug&6DC2MkVZ~m$`}M$65vJy;p@k47VryB$%O&?n>0KF@71&8W%o;qw={QlDICkg0 z4Pz%)LGm_D@9X!dl|Y+nn*8JvzKhuS12SCOCNu?8)<5=M1+(8&Nz3^AM` z!T%|3ErF4j^B5TW7g{-gwqXOC`Z`V(5ypl(ifAjMh_)(<$ZeY>%l1XXQW@Y+a2Zv+ zXTv8s18-5p_p*_fb1G{CW4sk3Opg&)L}ZR+BR9!*ZXGkFp$bZ~F@F^B`~b7vc~6XO z0k6dLpTW~s?_@{NQ_s(0K|hP3yYPHj6Bs6-9lvb=B4GrfKW)BkwZ^ep@8y&x^rZ}! zdZelB9~YXb8C}WH(>;o@T4?5B6nYjt(jyE#)dLqcV=N71kKvWDhTjpMG17Zs1#epQ zqWAZjq3#9rrqwEYBtzb5i7ABH(kL#dV!R+p(ri(ZX4^d|YkG*R=^?U$&#fVAE}MNYDMrta8_$(JTiWz|+!3v1w&Jj%u z%$Q)oQ$%}SDWcsGTby)Qm>SX~%@!tUwyaHTjE`|jrn~=&0N1Cu^gbWgrU2L3Y=U-9 z={9&`Lx2mV3z;iq&-Dv<2G1)JB!YtM@K1ui$R7Ei@ysZqNZAW8Z&>0(G`Nggh6%$p z*vwqp%=`}ooL_U1=ht0z69X= z$7$y9?c+zd!cC@P6!1i@7_#56Ye^SIwLck2F~B#OvAffi()E_83vU4?={g z)oa_}3@(~sSYm*e7*Z6l-;iQ}gN79Fmu4=4K-pnnNTkIUaITS*SjEs29_a2?W_ir3 z1^67zgw>8a%w9_0vqnZooN))C-7aWTV}t(Rqfk8xW0#9BI(vQKnW8;Kha%18g;< zm4JRFdW;fYivhQ2W*IG>EJh}2W(0#bin0L4Fo&E{QO#p9PJzb49d0YPcL%5BO@QAu zq+Y=14XF?CM6&|KKEm*BkMw1R-Z~NcCX;^SalOg#kVY+^y#9j>&o!i8z;;7Q0Io5l zKn%HyRsOtDJqm~}P&j;DgGXZNCfUkGA2loq zz#lNFj>mE77fi)C;1`+HDAo#Kzp!A`fEJ%M!ny$=pg}AL^veofok143>FvCL{)#{FbK~DJN<;zo8B&j3>i`%1yJ1NH&TuqyxB*e|Fy^9g0C*&mqyd5b!h!~bu(3v1 zHy{L%0RjE8f(C>vaFYQ6{S|`-oXCxT&?pfFoNh<~14g*$9}G(ZaIe|&t_1uVleA5d z`+;Fh0KQ>JD*@?O9My}0Bj9{PS_!z=kP?8a3~43cupuP?Pcoa)m4Ig(QUY+MA+5As zDQJl}Eb${_oEYG9hD2ZAuSLNe(m3%datDkhVt}xSW<>4=)2A5VSk_iEB6qy$Lkti- z){Mw`oeeCa!OnK0vyU5v`vB1wDZbnE3}a0f$$;n!Ee3#xnQnChVyuY^aDm4Kh_NOv zK#VoD2#CHC7vL$zKHY$xCHm}Pe}G31-Ipg~;9r_Sjz7m%!5Gpnyj5qj(&&VwrI-f& z!VcKKVzGZP;-i4?HO7yf#<10pqJV#INHIVR5gojsB1V8V0&u8L88b%#?=++s;NKZi z6!6Q26nhcSm^lhJe7{Em#Gr)i!9QY|L7K|8gFH~cCeux?djZjF$p(z>OL4$3h2#T< zO(Y*MEI?ZeKy+7D1x9~mRbX^f@&Uu`B%kefxLD}tUeSZR->r=(t^n`hZ1Nc}y@z$c>m=lVC7q$xBV6 zaX{44f!Q`9I<08#3~mxoalWb81L&_9+$5y9!Uj_@`Z0!gdL;CDh3RwDWw^#8 z0is2kGvD-ksTTHz;V9{KZ#BO9bn?=!hy9^;c zBt!*ecSAO6kxpNE7drKz-dje^UO?z3ousFV+!038UO;H98Igk~A@+yLOc&%w~B~6sUmZ|;ae3n{VLncaP}KaEf)}7pv^&0P+-zxYz}g-Xwt4LMO1Tvu|UC%@i}|$?mjLWO zTni@0+!~nisQ0X?7YDp(ysk&_1@ICUrI!Wd&_GBag7`le@!f!^MQXja+3tF-DE2E0N!j!-GKjSNPU2Q z@xcw{6ADIKGU@aH2$>WU0N-Bx^(_7|qgP<}eG3=u=JZH0dhD%Z^qbyBo9JCk zDEPdQ5x52W0vA1GSfURzeAJL)fKZthBzp?(WA51#bO~K{0Xh>wS_#<1B<)ei-RLm_ ze!`FvfOpi?MefsvF|bL2YG)hO;(%y|=GcLa$m}$t;((B@`0922G$X$o5F%wiyQ|23 z$~4dohz2yH-NKD5xyq?LRs;X^NX<>E9oz^@PT>|5@jT8f@DqDEGw3JU?e024Q@+Hh zY!?{q(q7nZAY?y&6>EO2u~8K821DuwMBgZe0{Zm){YHDxshhvwXe%#RX;0Brws~j~ z4eB1)UruL1GdU&i2ZS+5Q9v|DI_(b|kNq3%CKacxJ;Xg@Oh3K)O&;oRb4rQ;`da;2 zz!IZye^`Q zQ$;<@6@Qs+K6DRy6YoyQu{+=|vwPw%v%BOkv)i|wkKV6y-dD#9R-hhj-BcaJGY--<)t0NN?{(C=mtxm?8B7LS;ZxOV7x|g zNx(-W&93(q*69~;JK@~3A)>efyr_Ey1Dg1NEldiJW2ktlsTc=@0J`-A^veof*g)3F zMpic<1W?QZ^veof*i2^`D~zlJ;A$p?cL)`+cF|j!03m=n1n8F)>=0xjWYe+>2m$i# zQNVs-@1{pRVHJaGC$#9`ev|ru5J2y%0`x1fEZ`?7{fLp30NlZ(aI>P~HKt-55CTXq zK)x~x603m?P3h0*=G%HHKZe%3@ z4>bX`7qE#*+S16a@)%i)Ng)1dBR&o|*3^mtLZB2MuOjD(4=jJbXR#}dtf*<4!D=q@ z-ocsx+`&b4{Dw=fF|y-;5Fq`AOZ~EfeuL7-jI0D8-Y6Tc1S(>YpqKy%0i*<=Ush0w zuX5wxG)hFDVfd^^vh9a2AsElGoZ>U!L?(r+j*4SV#W)}Ykm`VbSwYoNdWw;i0G#r+ zN=)ZcywRAn2fXxcD@M6=HK$Y&aGfE=0Dn^>3%S=kM%$_xE-D$8C}iE>kpQ1Gq!=LH zj7wV^z~?+Jz+W0t6cEbMc>(ZckIU8*d47~EPbh#tH|c}QdYc*+^ZfVpuf?e*99BxMx);|vU&m0_%gAvfv(Bv z-;}L*HEa~V%+xa)#Bs;Wcz0|Y#VONURD~*9K!=jDdsBjqNh(_2+Q6;7dsgB!O`@qk zdi@224gcr*ixx0S{|o)akRzSU;B?dfDBvzbiUWR)N%T>J-FL5685r<49ycSCEM$bE zG)-y1@0waMK)73v*eGV6x=~E#Wag=pU!6KCUY+{BS4BttBA;U%bvvA7%LlcCK{g!i zjj%S}o!kD!-d$Jm|H<~=)*7$^hOm)lT72fI^k?#{lU|+r?kCiYch5?kn0wkFMEGBB z@@;JbTll{03=nbQf5I^#8xi6EZO4Qa5EuWyV;&PYE@nA$uHjT(p#bBsL<42p5NCL2DjAoY4HyCc@R68MZ_(}|71pIjoBXV=c z8i{~COwtmOYdO>~)>HU6qi`JXDMRW5{56xL_;?jLd~$>?hS7)prn7y}w%usk7u2io zo%QO5Uf$Or_5z|=saJOuIeb)#Tn`Y+Xh!7PjB34rP*F1?=NS?gnnv%*eAC{_DNW$7 zu-Lsue888h%PE!%QNN}LrLG5SUU_b_RUh+KSX6}caIj1$>< z_%b7Ts$f!*Q(f0?bS}$?8CikV4=n$uMhUw`E&eZS6cXi|c5Hc)W=oT_ckV#Ie?G>F zKU&iPTae8a));s`$C8c^rHOd=B1P9K==gLuU7*;_e4neL@3dd|^pz3C73dpc2VB$< z1-6C|{Gib=Fj9Gzv5ix5q<_CMq67sx3#_h#6%Ub7p_E%FgN^^NcP~r$fmr^><^+?tv$V>2LpPUud=(q zcx)6J40|MK@N19suMGdwBiTw_%*yzbf*E|xg~+xAb+aIJiTqYv9Z_5XzeNkMD)H{v zUWa!&@86^0*103lF{k5`fN#0R!= z5PzW&-wn9Th+hc^fqLVGoF_gIjaReSO`NJl0~vhSkpdjPlT4U4qYVsadL%SB#3UsR zneQ9E_zxLAZ%9$VbJ)_VDl*>3J)?jZnGuOc7^0i1svcPH?=x1DjUp28s=dtfl&2OT zl%Js%_R^$V$DBCj%|qoPukl-sAJfMcrt1s$3r-8PatN#YKGTC9!0AjnRFqDBAEzD%AoqR&(8JjEn@}3FFOt06d*ZdOjdG%VPvQuZ9u1eIBDX z78>p3_92tz@ak(Ksw|-M-9g^#x7Fc&B*=S5J>KsJdB0ST_h69s$$Gqh3i3W%k9Pu_ z$Y+ON*5id)@u4=d+4=Qs2A+?yd>JFJjtKb**tg6)KHluMh-3}&Dk}Y1%7CH+;BqE$ zbmLskV+7o67|Tx{Qbq3L9wVbb;=OmU8_?fqcNMt}Mpic80{-}b}r ze7@AI>!TmHze7Ll-)lp^#&Cb|Q>y6KZl>cGn_l7kS=>+icP3#6TmJ)W|6g;8-~E1t z^EUsLY=@6>dJCtpo6Y}Q9+y`*wSR@l=HGXEM3JsNL#O!ddHn3F&3`5nS~#7->1>;k z^C`Y99pW^{>E)c-)$U>Xe7B z9*$=TC~&<|PSmsIQ+#&Nu8(@JbA5bj@tx%0bAq-!e70`| zx0~klDo$z~Z+oyqA%oL<7|B2J-?U4Is@8@R6f z5a)3_xR~>}jI`J9K`zg7YRkvH!9ESbm(6E@$zFiD0yU=VvZR2){~E&ksFDo89E8OAjSw84H+0y+dJ3^gheQ2%V}x=-AnG-%ocD((ks-)ai@$!kF`~-KEbvs7^ZwZN=TXsr zzV%iC?cdfIQOvh7VS&mCYz}cB%~T<}2+0i(>K|x~P)>*gOqj&8RwlCVya^SPBon>l zTN7kJME%#AB9s&2HD=soMN8X$tBCh4pZ=qVM`U$@UDGy|^YlkMNaefJ-t^UfjqBrU zFIIDXTYn}CdD$gx3VF*WL{!l--W9D=Ij?=Y|J$kDUw4(Y8{eVn?RkJC;3vfVVL z0P2DIw*>s{kLio3qA~nrhBxJ4SGF=40=wzItPlG)bNyznzk4PX`d-aGEa5%$-(P?4 zO%X{Jd!=FNb)ziwy=?paJ(cqo<-Fv65fiHpA zIU$+>IDMT`sSElq?q01*Uc5^s%D*(yf1CXo!f(-k+5U8kj{0X?bjd4UNSg=%>k|F< zeRu10hgE20#e3`2|H5Z={TDv%ml|wqN}c*2>Ci2`@ZPttFW*M1Icxc{Nlm?1F0j6r z%{zzd+x9zdlje+JpR5 zGrr^F8-J#*&t@M$9j==uKU&*1Ue_JU3A+*nk2>azwM8ZD8U*^zG3HyUudXvDq zUrQe+u%6e_#|x~}we-Wx`ddpsTwqkI>HJTT*(RS!omN3zgUAEagxD);E0p`S%V$XY_K0V;uL>YVL5-s zh?iv8uX)LN*(fFmJjHOiRBi($efk*@MZt4WsYwIb?ZBO@75xAQ0XfgZWzYO}-{VZp8sfepeLA~v*w^4UW9e51?^><+p#Qgt9%di;6ex`TGbQIp5!o71a+ayTLi`KUcQbt} z(_gJ4r;+?x>hoLH2YS9o(T^9qE&Wer`c|eRQpnp$X&-#F1qNBn}~upMuQm7HK)9c6wyZeOqXgK@P=^rOkoqe~;Q zAtCJ|U-H*IC0+DIRG!J=aYa|8nm_x9eiYgN$Cf|HFPTwt7HT;-X1!QP&KpE;u92)W zlW6mC6!|maJjPf42vak)Yp)CE5uKt#;3c2(h0*(1ev{=V1;sx>tez85vhZ0g`6WP7 zpQy!ugQ8Qswe0Y5B`2VcxQFNyN&gp_9~@s~Ir}U*dzBp0r(5e&<_bSz{{0sJ0p{Pz zaY?QR^7XHZuCf2`VKkBDc&(llQRI8MP(Econogkhe&b|C_e;?DoI3Q*I`pAB^eYv8 zg4nt^q6B0Aeq$Z}UCckSMDyRH)e-mC;g`Q_6Xp-k)}jBl4*idH=<>&9!sMS^hd#Fs zeRUoBraJT;b?Be1Lw~3a{duCxdHplXujIR#)x5q?+ga`dg0pZZI>Gd;@vrIkRvhBnE!iBZ)QJfVfs%Loio1VU+d82PcMg!Gye$tRY|jp2kP*Dhxzwis@wepxBD}uzsPc?u$&j`$dT{LmU`aL z#d6@rCt3$t(<(zM|yG-%ZIdNe`wfJM6FS$B$uC7D>8va$L@^>?wwm*?db%3}td7VkB8CW!&LpIW^>tCUcdHD2$X#B2_Gx z%9ViuVsqVvZ9Q&hM=b8TBAqE_2D2r4H0+j#-BhlSr{|?1B-c$B+`(L-KbdpW#2$_=gJ4hHLU4@ zikmAW)1l zrSY}}Ei-4zhTKv)S+0~^dJImZBnboAoa~qqL>djszO=CTZc$Ti(^WxTB4@d?ln< zu`Jw6JD1FD?R1ySpC4Q1^s2A1V+DKjV^>{HbenJwF2DfPRBkxX8=9r4BF3h>zY;x_5R zan-$2IZalsl`Y>aAk9eHj#SE}+Cpk7nXV;~jBLJ^q+KPQmwxG{hAR0rs*A+}y(>Yh zPU?xZ#cbK?mzz;7t)^kRwOI=LWi3yrLtLQq+Csh z4j&InrGQ|e(x$dSX~SZ=VrdriEuIO=8!7bIey06IkEJv*jZ?a?R=FJwlh2W*`G&35 zq_TQ?%lMxxhK!gICWjBf!|hKN+557_yC~dd)~Qh@UCS9cklK>0R%s-Y%F@Ch-+q(K zQ;cv2hYNY>Ni^y@0BGw|WjWa8g3qs{15tNM1$T(*l6!Dh_530SRqyEGv6o_KcDeb= zaDRprRiWIo{)}@)I$NSa%2Q~jA=J}A_D?EK^=!>)z3R{AT|Jp8m@FYin(!_eJI#u) zWBU})a&;_{5!P$pi(cAz+HkbSAb{1zHZ}Ww@lXa-W#)8-CgG5rOt$PCy(g)bMsc`Q zNL_G&TPmfJ`2nx+JXo@T$w})k4UQTXS*8LQS%7<}R#gtPv;|0pHAU~T;`*hb!dkaP zJBsW&m&T18C_|9?m(63s&|*Zx#ST0|GmkPf6xjh9Z$9Nl-tvrCYmU;TPQ*O%sLtk9(FY{K^O4$ zAT-PB#8?$n{4OJHpaLR>0)&0xFF,(USB_VID>>8)&0xFF, + (USB_PID>>0)&0xFF,(USB_PID>>8)&0xFF, +#ifdef STM32F4 + 0x00,0x23, +#else + 0x00,0x22, +#endif + 0x01,0x02, + 0x03,0x01}; + +uint8_t configuration_desc[] = { + 0x09, 0x02, 0x27, 0x00, + 0x01, 0x01, 0x00, 0xc0, + 0x32, + // interface 0 + 0x09, 0x04, 0x00, 0x00, + 0x03, 0xff, 0xFF, 0xFF, + 0x00, + // endpoint 1, read CAN + 0x07, 0x05, 0x81, 0x02, 0x40, 0x00, 0x00, + // endpoint 2, send serial + 0x07, 0x05, 0x02, 0x02, 0x40, 0x00, 0x00, + // endpoint 3, send CAN + 0x07, 0x05, 0x03, 0x02, 0x40, 0x00, 0x00, +}; + +uint8_t string_0_desc[] = { + 0x04, 0x03, 0x09, 0x04 +}; + +uint16_t string_1_desc[] = { + 0x0312, + 'c', 'o', 'm', 'm', 'a', '.', 'a', 'i' +}; + +#ifdef PANDA +uint16_t string_2_desc[] = { + 0x030c, + 'p', 'a', 'n', 'd', 'a' +}; +#else +uint16_t string_2_desc[] = { + 0x030c, + 'N', 'E', 'O', 'v', '1' +}; +#endif + +uint16_t string_3_desc[] = { + 0x030a, + 'n', 'o', 'n', 'e' +}; + +typedef union +{ + uint16_t w; + struct BW + { + uint8_t msb; + uint8_t lsb; + } + bw; +} +uint16_t_uint8_t; + + +typedef union _USB_Setup +{ + uint32_t d8[2]; + + struct _SetupPkt_Struc + { + uint8_t bmRequestType; + uint8_t bRequest; + uint16_t_uint8_t wValue; + uint16_t_uint8_t wIndex; + uint16_t_uint8_t wLength; + } b; +} +USB_Setup_TypeDef; + +// current packet +USB_Setup_TypeDef setup; +uint8_t usbdata[0x100]; + +// packet read and write + +void *USB_ReadPacket(void *dest, uint16_t len) { + uint32_t i=0; + uint32_t count32b = (len + 3) / 4; + + for ( i = 0; i < count32b; i++, dest += 4 ) { + // packed? + *(__attribute__((__packed__)) uint32_t *)dest = USBx_DFIFO(0); + } + return ((void *)dest); +} + +void USB_WritePacket(const uint8_t *src, uint16_t len, uint32_t ep) { + #ifdef DEBUG_USB + puts("writing "); + hexdump(src, len); + #endif + uint32_t count32b = 0, i = 0; + count32b = (len + 3) / 4; + + // bullshit + USBx_INEP(ep)->DIEPTSIZ = (USB_OTG_DIEPTSIZ_PKTCNT & (1 << 19)) | (len & USB_OTG_DIEPTSIZ_XFRSIZ); + USBx_INEP(ep)->DIEPCTL |= (USB_OTG_DIEPCTL_CNAK | USB_OTG_DIEPCTL_EPENA); + + // load the FIFO + for (i = 0; i < count32b; i++, src += 4) { + USBx_DFIFO(ep) = *((__attribute__((__packed__)) uint32_t *)src); + } +} + +void usb_reset() { + // unmask endpoint interrupts, so many sets + USBx_DEVICE->DAINT = 0xFFFFFFFF; + USBx_DEVICE->DAINTMSK = 0xFFFFFFFF; + //USBx_DEVICE->DOEPMSK = (USB_OTG_DOEPMSK_STUPM | USB_OTG_DOEPMSK_XFRCM | USB_OTG_DOEPMSK_EPDM); + //USBx_DEVICE->DIEPMSK = (USB_OTG_DIEPMSK_TOM | USB_OTG_DIEPMSK_XFRCM | USB_OTG_DIEPMSK_EPDM | USB_OTG_DIEPMSK_ITTXFEMSK); + //USBx_DEVICE->DIEPMSK = (USB_OTG_DIEPMSK_TOM | USB_OTG_DIEPMSK_XFRCM | USB_OTG_DIEPMSK_EPDM); + + // all interrupts for debugging + USBx_DEVICE->DIEPMSK = 0xFFFFFFFF; + USBx_DEVICE->DOEPMSK = 0xFFFFFFFF; + + // clear interrupts + USBx_INEP(0)->DIEPINT = 0xFF; + USBx_OUTEP(0)->DOEPINT = 0xFF; + + // unset the address + USBx_DEVICE->DCFG &= ~USB_OTG_DCFG_DAD; + + // set up USB FIFOs + // RX start address is fixed to 0 + USBx->GRXFSIZ = 0x40; + + // 0x100 to offset past GRXFSIZ + USBx->DIEPTXF0_HNPTXFSIZ = (0x40 << 16) | 0x40; + + // EP1, massive + USBx->DIEPTXF[0] = (0x40 << 16) | 0x80; + + // flush TX fifo + USBx->GRSTCTL = USB_OTG_GRSTCTL_TXFFLSH | USB_OTG_GRSTCTL_TXFNUM_4; + while ((USBx->GRSTCTL & USB_OTG_GRSTCTL_TXFFLSH) == USB_OTG_GRSTCTL_TXFFLSH); + // flush RX FIFO + USBx->GRSTCTL = USB_OTG_GRSTCTL_RXFFLSH; + while ((USBx->GRSTCTL & USB_OTG_GRSTCTL_RXFFLSH) == USB_OTG_GRSTCTL_RXFFLSH); + + // no global NAK + USBx_DEVICE->DCTL |= USB_OTG_DCTL_CGINAK; + + // ready to receive setup packets + USBx_OUTEP(0)->DOEPTSIZ = USB_OTG_DOEPTSIZ_STUPCNT | (USB_OTG_DOEPTSIZ_PKTCNT & (1 << 19)) | (3 * 8); +} + +char to_hex_char(int a) { + if (a < 10) { + return '0' + a; + } else { + return 'a' + (a-10); + } +} + +void usb_setup() { + int i; + uint8_t resp[0x80]; + // setup packet is ready + switch (setup.b.bRequest) { + case USB_REQ_SET_CONFIGURATION: + // enable other endpoints, has to be here? + USBx_INEP(1)->DIEPCTL = (0x40 & USB_OTG_DIEPCTL_MPSIZ) | (2 << 18) | (1 << 22) | + USB_OTG_DIEPCTL_SD0PID_SEVNFRM | USB_OTG_DIEPCTL_USBAEP; + USBx_INEP(1)->DIEPINT = 0xFF; + + USBx_OUTEP(2)->DOEPTSIZ = (1 << 19) | 0x40; + USBx_OUTEP(2)->DOEPCTL = (0x40 & USB_OTG_DOEPCTL_MPSIZ) | (2 << 18) | + USB_OTG_DOEPCTL_SD0PID_SEVNFRM | USB_OTG_DOEPCTL_USBAEP; + USBx_OUTEP(2)->DOEPINT = 0xFF; + + USBx_OUTEP(3)->DOEPTSIZ = (1 << 19) | 0x40; + USBx_OUTEP(3)->DOEPCTL = (0x40 & USB_OTG_DOEPCTL_MPSIZ) | (2 << 18) | + USB_OTG_DOEPCTL_SD0PID_SEVNFRM | USB_OTG_DOEPCTL_USBAEP; + USBx_OUTEP(3)->DOEPINT = 0xFF; + + // mark ready to receive + USBx_OUTEP(2)->DOEPCTL |= USB_OTG_DOEPCTL_EPENA | USB_OTG_DOEPCTL_CNAK; + USBx_OUTEP(3)->DOEPCTL |= USB_OTG_DOEPCTL_EPENA | USB_OTG_DOEPCTL_CNAK; + + // TODO: is this the right place for this? + usb_cb_enumeration_complete(); + + USB_WritePacket(0, 0, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + case USB_REQ_SET_ADDRESS: + // set now? + USBx_DEVICE->DCFG |= ((setup.b.wValue.w & 0x7f) << 4); + + #ifdef DEBUG_USB + puts(" set address\n"); + #endif + + USB_WritePacket(0, 0, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + + break; + case USB_REQ_GET_DESCRIPTOR: + switch (setup.b.wValue.bw.lsb) { + case USB_DESC_TYPE_DEVICE: + //puts(" writing device descriptor\n"); + + // setup transfer + USB_WritePacket(device_desc, min(sizeof(device_desc), setup.b.wLength.w), 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + + //puts("D"); + break; + case USB_DESC_TYPE_CONFIGURATION: + USB_WritePacket(configuration_desc, min(sizeof(configuration_desc), setup.b.wLength.w), 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + case USB_DESC_TYPE_STRING: + switch (setup.b.wValue.bw.msb) { + case 0: + USB_WritePacket(string_0_desc, min(sizeof(string_0_desc), setup.b.wLength.w), 0); + break; + case 1: + USB_WritePacket(string_1_desc, min(sizeof(string_1_desc), setup.b.wLength.w), 0); + break; + case 2: + USB_WritePacket(string_2_desc, min(sizeof(string_2_desc), setup.b.wLength.w), 0); + break; + case 3: + #ifdef PANDA + resp[0] = 0x02 + 12*4; + resp[1] = 0x03; + + // 96 bits = 12 bytes + for (i = 0; i < 12; i++){ + uint8_t cc = ((uint8_t *)UID_BASE)[i]; + resp[2 + i*4 + 0] = to_hex_char((cc>>4)&0xF); + resp[2 + i*4 + 1] = '\0'; + resp[2 + i*4 + 2] = to_hex_char((cc>>0)&0xF); + resp[2 + i*4 + 3] = '\0'; + } + + USB_WritePacket(resp, min(resp[0], setup.b.wLength.w), 0); + #else + USB_WritePacket(string_3_desc, min(sizeof(string_3_desc), setup.b.wLength.w), 0); + #endif + break; + default: + // nothing + USB_WritePacket(0, 0, 0); + break; + } + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + default: + // nothing here? + USB_WritePacket(0, 0, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + } + break; + case USB_REQ_GET_STATUS: + // empty resp? + resp[0] = 0; + resp[1] = 0; + USB_WritePacket((void*)&resp, 2, 0); + USBx_OUTEP(0)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + break; + default: + usb_cb_control_msg(); + } +} + +void usb_init() { + // full speed PHY, do reset and remove power down + puth(USBx->GRSTCTL); + puts(" resetting PHY\n"); + while ((USBx->GRSTCTL & USB_OTG_GRSTCTL_AHBIDL) == 0); + puts("AHB idle\n"); + + // reset PHY here + USBx->GRSTCTL |= USB_OTG_GRSTCTL_CSRST; + while ((USBx->GRSTCTL & USB_OTG_GRSTCTL_CSRST) == USB_OTG_GRSTCTL_CSRST); + puts("reset done\n"); + + // internal PHY, force device mode + USBx->GUSBCFG = USB_OTG_GUSBCFG_PHYSEL | USB_OTG_GUSBCFG_FDMOD; + + // slowest timings + USBx->GUSBCFG |= (uint32_t)((USBD_FS_TRDT_VALUE << 10) & USB_OTG_GUSBCFG_TRDT); + + // power up the PHY +#ifdef STM32F4 + USBx->GCCFG = USB_OTG_GCCFG_PWRDWN; + + //USBx->GCCFG |= USB_OTG_GCCFG_VBDEN | USB_OTG_GCCFG_SDEN |USB_OTG_GCCFG_PDEN | USB_OTG_GCCFG_DCDEN; + + /* B-peripheral session valid override enable*/ + USBx->GOTGCTL |= USB_OTG_GOTGCTL_BVALOVAL; + USBx->GOTGCTL |= USB_OTG_GOTGCTL_BVALOEN; +#else + USBx->GCCFG = USB_OTG_GCCFG_PWRDWN | USB_OTG_GCCFG_NOVBUSSENS; +#endif + + // be a device, slowest timings + //USBx->GUSBCFG = USB_OTG_GUSBCFG_FDMOD | USB_OTG_GUSBCFG_PHYSEL | USB_OTG_GUSBCFG_TRDT | USB_OTG_GUSBCFG_TOCAL; + //USBx->GUSBCFG |= (uint32_t)((USBD_FS_TRDT_VALUE << 10) & USB_OTG_GUSBCFG_TRDT); + //USBx->GUSBCFG = USB_OTG_GUSBCFG_PHYSEL | USB_OTG_GUSBCFG_TRDT | USB_OTG_GUSBCFG_TOCAL; + + // **** for debugging, doesn't seem to work **** + //USBx->GUSBCFG |= USB_OTG_GUSBCFG_CTXPKT; + + // reset PHY clock + USBx_PCGCCTL = 0; + + // enable the fancy OTG things + // DCFG_FRAME_INTERVAL_80 is 0 + //USBx->GUSBCFG |= USB_OTG_GUSBCFG_HNPCAP | USB_OTG_GUSBCFG_SRPCAP; + USBx_DEVICE->DCFG |= USB_OTG_SPEED_FULL | USB_OTG_DCFG_NZLSOHSK; + + //USBx_DEVICE->DCFG = USB_OTG_DCFG_NZLSOHSK | USB_OTG_DCFG_DSPD; + //USBx_DEVICE->DCFG = USB_OTG_DCFG_DSPD; + + // clear pending interrupts + USBx->GINTSTS = 0xBFFFFFFFU; + + // setup USB interrupts + // all interrupts except TXFIFO EMPTY + //USBx->GINTMSK = 0xFFFFFFFF & ~(USB_OTG_GINTMSK_NPTXFEM | USB_OTG_GINTMSK_PTXFEM | USB_OTG_GINTSTS_SOF | USB_OTG_GINTSTS_EOPF); + //USBx->GINTMSK = 0xFFFFFFFF & ~(USB_OTG_GINTMSK_NPTXFEM | USB_OTG_GINTMSK_PTXFEM); + USBx->GINTMSK = USB_OTG_GINTMSK_USBRST | USB_OTG_GINTMSK_ENUMDNEM | USB_OTG_GINTMSK_OTGINT | + USB_OTG_GINTMSK_RXFLVLM | USB_OTG_GINTMSK_GONAKEFFM | USB_OTG_GINTMSK_GINAKEFFM | + USB_OTG_GINTMSK_OEPINT | USB_OTG_GINTMSK_IEPINT | USB_OTG_GINTMSK_USBSUSPM | + USB_OTG_GINTMSK_CIDSCHGM | USB_OTG_GINTMSK_SRQIM | USB_OTG_GINTMSK_MMISM; + + USBx->GAHBCFG = USB_OTG_GAHBCFG_GINT; + + // DCTL startup value is 2 on new chip, 0 on old chip + // THIS IS FUCKING BULLSHIT + USBx_DEVICE->DCTL = 0; +} + +// ***************************** USB port ***************************** + +void usb_irqhandler(void) { + //USBx->GINTMSK = 0; + + unsigned int gintsts = USBx->GINTSTS; + unsigned int gotgint = USBx->GOTGINT; + unsigned int daint = USBx_DEVICE->DAINT; + + // gintsts SUSPEND? 04008428 + #ifdef DEBUG_USB + puth(gintsts); + puts(" "); + /*puth(USBx->GCCFG); + puts(" ");*/ + puth(gotgint); + puts(" ep "); + puth(daint); + puts(" USB interrupt!\n"); + #endif + + if (gintsts & USB_OTG_GINTSTS_CIDSCHG) { + puts("connector ID status change\n"); + } + + if (gintsts & USB_OTG_GINTSTS_ESUSP) { + puts("ESUSP detected\n"); + } + + if (gintsts & USB_OTG_GINTSTS_USBRST) { + puts("USB reset\n"); + usb_reset(); + } + + if (gintsts & USB_OTG_GINTSTS_ENUMDNE) { + puts("enumeration done "); + // Full speed, ENUMSPD + puth(USBx_DEVICE->DSTS); + puts("\n"); + } + + if (gintsts & USB_OTG_GINTSTS_OTGINT) { + puts("OTG int:"); + puth(USBx->GOTGINT); + puts("\n"); + + // getting ADTOCHG + //USBx->GOTGINT = USBx->GOTGINT; + } + + // RX FIFO first + if (gintsts & USB_OTG_GINTSTS_RXFLVL) { + // 1. Read the Receive status pop register + volatile unsigned int rxst = USBx->GRXSTSP; + + #ifdef DEBUG_USB + puts(" RX FIFO:"); + puth(rxst); + puts(" status: "); + puth((rxst & USB_OTG_GRXSTSP_PKTSTS) >> 17); + puts(" len: "); + puth((rxst & USB_OTG_GRXSTSP_BCNT) >> 4); + puts("\n"); + #endif + + + if (((rxst & USB_OTG_GRXSTSP_PKTSTS) >> 17) == STS_DATA_UPDT) { + int endpoint = (rxst & USB_OTG_GRXSTSP_EPNUM); + int len = (rxst & USB_OTG_GRXSTSP_BCNT) >> 4; + USB_ReadPacket(&usbdata, len); + #ifdef DEBUG_USB + puts(" data "); + puth(len); + puts("\n"); + hexdump(&usbdata, len); + #endif + + if (endpoint == 2) { + usb_cb_ep2_out(usbdata, len); + } + + if (endpoint == 3) { + usb_cb_ep3_out(usbdata, len); + } + } else if (((rxst & USB_OTG_GRXSTSP_PKTSTS) >> 17) == STS_SETUP_UPDT) { + USB_ReadPacket(&setup, 8); + #ifdef DEBUG_USB + puts(" setup "); + hexdump(&setup, 8); + puts("\n"); + #endif + } + } + + /*if (gintsts & USB_OTG_GINTSTS_HPRTINT) { + // host + puts("HPRT:"); + puth(USBx_HOST_PORT->HPRT); + puts("\n"); + if (USBx_HOST_PORT->HPRT & USB_OTG_HPRT_PCDET) { + USBx_HOST_PORT->HPRT |= USB_OTG_HPRT_PRST; + USBx_HOST_PORT->HPRT |= USB_OTG_HPRT_PCDET; + } + + }*/ + + if ((gintsts & USB_OTG_GINTSTS_BOUTNAKEFF) || (gintsts & USB_OTG_GINTSTS_GINAKEFF)) { + // no global NAK, why is this getting set? + #ifdef DEBUG_USB + puts("GLOBAL NAK\n"); + #endif + USBx_DEVICE->DCTL |= USB_OTG_DCTL_CGONAK | USB_OTG_DCTL_CGINAK; + } + + if (gintsts & USB_OTG_GINTSTS_SRQINT) { + // we want to do "A-device host negotiation protocol" since we are the A-device + puts("start request\n"); + puth(USBx->GOTGCTL); + puts("\n"); + //USBx->GUSBCFG |= USB_OTG_GUSBCFG_FDMOD; + //USBx_HOST_PORT->HPRT = USB_OTG_HPRT_PPWR | USB_OTG_HPRT_PENA; + //USBx->GOTGCTL |= USB_OTG_GOTGCTL_SRQ; + } + + // out endpoint hit + if (gintsts & USB_OTG_GINTSTS_OEPINT) { + #ifdef DEBUG_USB + puts(" 0:"); + puth(USBx_OUTEP(0)->DOEPINT); + puts(" 2:"); + puth(USBx_OUTEP(2)->DOEPINT); + puts(" 3:"); + puth(USBx_OUTEP(3)->DOEPINT); + puts(" "); + puth(USBx_OUTEP(3)->DOEPCTL); + puts(" 4:"); + puth(USBx_OUTEP(4)->DOEPINT); + puts(" OUT ENDPOINT\n"); + #endif + + if (USBx_OUTEP(2)->DOEPINT & USB_OTG_DOEPINT_XFRC) { + #ifdef DEBUG_USB + puts(" OUT2 PACKET XFRC\n"); + #endif + USBx_OUTEP(2)->DOEPTSIZ = (1 << 19) | 0x40; + USBx_OUTEP(2)->DOEPCTL |= USB_OTG_DOEPCTL_EPENA | USB_OTG_DOEPCTL_CNAK; + } + + if (USBx_OUTEP(3)->DOEPINT & USB_OTG_DOEPINT_XFRC) { + #ifdef DEBUG_USB + puts(" OUT3 PACKET XFRC\n"); + #endif + USBx_OUTEP(3)->DOEPTSIZ = (1 << 19) | 0x40; + USBx_OUTEP(3)->DOEPCTL |= USB_OTG_DOEPCTL_EPENA | USB_OTG_DOEPCTL_CNAK; + } else if (USBx_OUTEP(3)->DOEPINT & 0x2000) { + #ifdef DEBUG_USB + puts(" OUT3 PACKET WTF\n"); + #endif + // if NAK was set trigger this, unknown interrupt + USBx_OUTEP(3)->DOEPTSIZ = (1 << 19) | 0x40; + USBx_OUTEP(3)->DOEPCTL |= USB_OTG_DOEPCTL_CNAK; + } else if (USBx_OUTEP(3)->DOEPINT) { + puts("OUTEP3 error "); + puth(USBx_OUTEP(3)->DOEPINT); + puts("\n"); + } + + if (USBx_OUTEP(0)->DOEPINT & USB_OTG_DIEPINT_XFRC) { + // ready for next packet + USBx_OUTEP(0)->DOEPTSIZ = USB_OTG_DOEPTSIZ_STUPCNT | (USB_OTG_DOEPTSIZ_PKTCNT & (1 << 19)) | (1 * 8); + } + + // respond to setup packets + if (USBx_OUTEP(0)->DOEPINT & USB_OTG_DOEPINT_STUP) { + usb_setup(); + } + + USBx_OUTEP(0)->DOEPINT = USBx_OUTEP(0)->DOEPINT; + USBx_OUTEP(2)->DOEPINT = USBx_OUTEP(2)->DOEPINT; + USBx_OUTEP(3)->DOEPINT = USBx_OUTEP(3)->DOEPINT; + } + + + // in endpoint hit + if (gintsts & USB_OTG_GINTSTS_IEPINT) { + #ifdef DEBUG_USB + puts(" "); + puth(USBx_INEP(0)->DIEPINT); + puts(" "); + puth(USBx_INEP(1)->DIEPINT); + puts(" IN ENDPOINT\n"); + #endif + + // this happens first + if (USBx_INEP(1)->DIEPINT & USB_OTG_DIEPINT_XFRC) { + #ifdef DEBUG_USB + puts(" IN PACKET SEND\n"); + #endif + //USBx_DEVICE->DIEPEMPMSK = ~(1 << 1); + } + + // *** IN token received when TxFIFO is empty + if (USBx_INEP(1)->DIEPINT & USB_OTG_DIEPMSK_ITTXFEMSK) { + #ifdef DEBUG_USB + puts(" IN PACKET QUEUE\n"); + #endif + // TODO: always assuming max len, can we get the length? + usb_cb_ep1_in(0x40); + } + + // clear interrupts + USBx_INEP(0)->DIEPINT = USBx_INEP(0)->DIEPINT; + USBx_INEP(1)->DIEPINT = USBx_INEP(1)->DIEPINT; + } + + + // clear all interrupts we handled + USBx_DEVICE->DAINT = daint; + USBx->GOTGINT = gotgint; + USBx->GINTSTS = gintsts; + + //USBx->GINTMSK = 0xFFFFFFFF & ~(USB_OTG_GINTMSK_NPTXFEM | USB_OTG_GINTMSK_PTXFEM | USB_OTG_GINTSTS_SOF | USB_OTG_GINTSTS_EOPF); +} + diff --git a/boardesp/.gitignore b/boardesp/.gitignore new file mode 100644 index 0000000..1365eaf --- /dev/null +++ b/boardesp/.gitignore @@ -0,0 +1,4 @@ +proxy +*.bin +esp-open-sdk +a.out diff --git a/boardesp/Makefile b/boardesp/Makefile new file mode 100644 index 0000000..5dfd9c7 --- /dev/null +++ b/boardesp/Makefile @@ -0,0 +1,49 @@ +PATH := esp-open-sdk/xtensa-lx106-elf/bin:$(PATH) +CC = xtensa-lx106-elf-gcc +CFLAGS = -Iinclude/ -I. -mlongcalls -Iesp-open-sdk/ESP8266_NONOS_SDK_V1.5.4_16_05_20/driver_lib/include -std=c99 -DICACHE_FLASH +LDLIBS = -nostdlib -Wl,--start-group -lmain -lnet80211 -lwpa -llwip -lpp -lphy -Wl,--end-group -lgcc -ldriver -Wl,--gc-sections +LDFLAGS = -Teagle.app.v6.ld +OBJCP = xtensa-lx106-elf-objcopy +SDK_BASE = esp-open-sdk/ESP8266_NONOS_SDK_V1.5.4_16_05_20 + +flash: user1.bin + #./tools/esptool.py write_flash 0 $(SDK_BASE)/bin/boot_v1.5.bin 0x01000 user1.bin 0x81000 user2.bin 0x3FE000 $(SDK_BASE)/bin/blank.bin + ./tools/esptool.py write_flash 0 $(SDK_BASE)/bin/boot_v1.5.bin 0x01000 user1.bin 0x3FE000 $(SDK_BASE)/bin/blank.bin + +proxy-0x00000.bin: proxy + ./tools/esptool.py elf2image $^ + +proxy: proxy.o tcp_ota.o + +proxy.o: proxy.c + +tcp_ota.o: tcp_ota.c + +oldflash: proxy-0x00000.bin + ./tools/esptool.py write_flash 0 proxy-0x00000.bin 0x40000 proxy-0x40000.bin + +user1.bin: proxy.o tcp_ota.o st_ota.o elm327.o + $(CC) $(CFLAGS) $^ -o a.out -L$(SDK_BASE)/ld -T$(SDK_BASE)/ld/eagle.app.v6.new.1024.app1.ld $(LDLIBS) + $(OBJCP) --only-section .text -O binary a.out eagle.app.v6.text.bin + $(OBJCP) --only-section .data -O binary a.out eagle.app.v6.data.bin + $(OBJCP) --only-section .rodata -O binary a.out eagle.app.v6.rodata.bin + $(OBJCP) --only-section .irom0.text -O binary a.out eagle.app.v6.irom0text.bin + COMPILE=gcc python ./esp-open-sdk/ESP8266_NONOS_SDK_V1.5.4_16_05_20/tools/gen_appbin.py a.out 2 0 32 4 0 + rm -f eagle.app.v6.*.bin + mv eagle.app.flash.bin $@ + +user2.bin: proxy.o tcp_ota.o st_ota.o elm327.o + $(CC) $(CFLAGS) $^ -o a.out -L$(SDK_BASE)/ld -T$(SDK_BASE)/ld/eagle.app.v6.new.1024.app2.ld $(LDLIBS) + $(OBJCP) --only-section .text -O binary a.out eagle.app.v6.text.bin + $(OBJCP) --only-section .data -O binary a.out eagle.app.v6.data.bin + $(OBJCP) --only-section .rodata -O binary a.out eagle.app.v6.rodata.bin + $(OBJCP) --only-section .irom0.text -O binary a.out eagle.app.v6.irom0text.bin + COMPILE=gcc python ./esp-open-sdk/ESP8266_NONOS_SDK_V1.5.4_16_05_20/tools/gen_appbin.py a.out 2 0 32 4 0 + rm -f eagle.app.v6.*.bin + mv eagle.app.flash.bin $@ + +ota: user1.bin user2.bin + ./tools/tcp_flash.py 192.168.0.10 user1.bin user2.bin + +clean: + rm -f proxy proxy.o proxy-0x00000.bin proxy-0x40000.bin eagle.app.* user1.bin user2.bin a.out diff --git a/boardesp/elm327.c b/boardesp/elm327.c new file mode 100644 index 0000000..77200f0 --- /dev/null +++ b/boardesp/elm327.c @@ -0,0 +1,34 @@ +#include "ets_sys.h" +#include "osapi.h" +#include "gpio.h" +#include "os_type.h" +#include "user_interface.h" +#include "espconn.h" + +#include "driver/uart.h" + +#define ELM_PORT 35000 + +static struct espconn elm_conn; +static esp_tcp elm_proto; + +static void ICACHE_FLASH_ATTR elm_rx_cb(void *arg, char *data, uint16_t len) { + uart0_tx_buffer(data, len); +} + +void ICACHE_FLASH_ATTR elm_tcp_connect_cb(void *arg) { + struct espconn *conn = (struct espconn *)arg; + espconn_set_opt(&elm_conn, ESPCONN_NODELAY); + espconn_regist_recvcb(conn, elm_rx_cb); +} + +void ICACHE_FLASH_ATTR elm327_init() { + // control listener + elm_proto.local_port = ELM_PORT; + elm_conn.type = ESPCONN_TCP; + elm_conn.state = ESPCONN_NONE; + elm_conn.proto.tcp = &elm_proto; + espconn_regist_connectcb(&elm_conn, elm_tcp_connect_cb); + espconn_accept(&elm_conn); +} + diff --git a/boardesp/get_sdk.sh b/boardesp/get_sdk.sh new file mode 100755 index 0000000..612a020 --- /dev/null +++ b/boardesp/get_sdk.sh @@ -0,0 +1,12 @@ +#!/bin/bash +sudo apt-get install make unrar-free autoconf automake libtool gcc g++ gperf \ + flex bison texinfo gawk ncurses-dev libexpat-dev python-dev python python-serial \ + sed git unzip bash help2man wget bzip2 +# huh? +sudo apt-get install libtool +sudo apt-get install libtool-bin +git clone --recursive https://github.com/pfalcon/esp-open-sdk.git +cd esp-open-sdk +git checkout 03f5e898a059451ec5f3de30e7feff30455f7cec +make STANDALONE=y + diff --git a/boardesp/include/espmissingincludes.h b/boardesp/include/espmissingincludes.h new file mode 100644 index 0000000..51672d3 --- /dev/null +++ b/boardesp/include/espmissingincludes.h @@ -0,0 +1,78 @@ +#ifndef ESPMISSINGINCLUDES_H +#define ESPMISSINGINCLUDES_H + +#include +#include +#include + + +int strcasecmp(const char *a, const char *b); +#ifndef FREERTOS +#include +#include +//Missing function prototypes in include folders. Gcc will warn on these if we don't define 'em anywhere. +//MOST OF THESE ARE GUESSED! but they seem to swork and shut up the compiler. +typedef struct espconn espconn; + +int atoi(const char *nptr); +void ets_install_putc1(void *routine); +void ets_isr_attach(int intr, void *handler, void *arg); +void ets_isr_mask(unsigned intr); +void ets_isr_unmask(unsigned intr); +int ets_memcmp(const void *s1, const void *s2, size_t n); +void *ets_memcpy(void *dest, const void *src, size_t n); +void *ets_memset(void *s, int c, size_t n); +int ets_sprintf(char *str, const char *format, ...) __attribute__ ((format (printf, 2, 3))); +int ets_str2macaddr(void *, void *); +int ets_strcmp(const char *s1, const char *s2); +char *ets_strcpy(char *dest, const char *src); +size_t ets_strlen(const char *s); +int ets_strncmp(const char *s1, const char *s2, int len); +char *ets_strncpy(char *dest, const char *src, size_t n); +char *ets_strstr(const char *haystack, const char *needle); +void ets_timer_arm_new(os_timer_t *a, int b, int c, int isMstimer); +void ets_timer_disarm(os_timer_t *a); +void ets_timer_setfn(os_timer_t *t, ETSTimerFunc *fn, void *parg); +void ets_update_cpu_frequency(int freqmhz); +void *os_memmove(void *dest, const void *src, size_t n); +int os_printf(const char *format, ...) __attribute__ ((format (printf, 1, 2))); +int os_snprintf(char *str, size_t size, const char *format, ...) __attribute__ ((format (printf, 3, 4))); +int os_printf_plus(const char *format, ...) __attribute__ ((format (printf, 1, 2))); +void uart_div_modify(int no, unsigned int freq); +uint8 wifi_get_opmode(void); +uint32 system_get_time(); +int rand(void); +void ets_bzero(void *s, size_t n); +void ets_delay_us(int ms); + +//Hack: this is defined in SDK 1.4.0 and undefined in 1.3.0. It's only used for this, the symbol itself +//has no meaning here. +#ifndef RC_LIMIT_P2P_11N +//Defs for SDK <1.4.0 +void *pvPortMalloc(size_t xWantedSize); +void *pvPortZalloc(size_t); +void vPortFree(void *ptr); +void *vPortMalloc(size_t xWantedSize); +void pvPortFree(void *ptr); +#else +void *pvPortMalloc(size_t xWantedSize, const char *file, int line); +void *pvPortZalloc(size_t, const char *file, int line); +void vPortFree(void *ptr, const char *file, int line); +void *vPortMalloc(size_t xWantedSize, const char *file, int line); +void pvPortFree(void *ptr, const char *file, int line); +#endif + +//Standard PIN_FUNC_SELECT gives a warning. Replace by a non-warning one. +#ifdef PIN_FUNC_SELECT +#undef PIN_FUNC_SELECT +#define PIN_FUNC_SELECT(PIN_NAME, FUNC) do { \ + WRITE_PERI_REG(PIN_NAME, \ + (READ_PERI_REG(PIN_NAME) \ + & (~(PERIPHS_IO_MUX_FUNC<proto.tcp->remote_ip), conn->proto.tcp->remote_port); + + espconn_regist_recvcb(conn, ota_rx_cb); + //espconn_regist_disconcb(conn, ota_disc_cb); + //espconn_regist_reconcb(conn, ota_recon_cb); + + char message[] = "ST control v2\r\n"; + espconn_send(&ota_conn, message, strlen(message)); +} + +// for UART access +static void ICACHE_FLASH_ATTR uart_rx_cb(void *arg, char *data, uint16_t len) { + // write data to UART + uart0_tx_buffer(data, len); +} + +static void ICACHE_FLASH_ATTR uart_tcp_connect_cb(void *arg) { + // go + struct espconn *conn = (struct espconn *)arg; + espconn_regist_recvcb(conn, uart_rx_cb); +} + +// size is only a byte, so this is max + +static void uart0_rx_intr_handler(void *para) { + uint8 rx_buf[0x100]; + + if (UART_RXFIFO_TOUT_INT_ST == (READ_PERI_REG(UART_INT_ST(0)) & UART_RXFIFO_TOUT_INT_ST)) { + uint8 fifo_len = (READ_PERI_REG(UART_STATUS(UART0))>>UART_RXFIFO_CNT_S)&UART_RXFIFO_CNT; + int i = 0; + for (i = 0; i < fifo_len; i++) { + uint8 d_tmp = READ_PERI_REG(UART_FIFO(UART0)) & 0xFF; + rx_buf[i] = d_tmp; + } + WRITE_PERI_REG(UART_INT_CLR(0), UART_RXFIFO_TOUT_INT_CLR); + + // echo + //uart0_tx_buffer(rx_buf, fifo_len); + + // send to network + espconn_send(&uart_conn, rx_buf, fifo_len); + } else { + // WTF + os_printf("WTF rx %X\n", READ_PERI_REG(UART_INT_ST(0))); + } +} + +void ICACHE_FLASH_ATTR uart0_init(int flashing_mode) { + // copy uart_config from the driver + ETS_UART_INTR_ATTACH(uart0_rx_intr_handler, NULL); + + // make TXD be TXD + PIN_PULLUP_DIS(PERIPHS_IO_MUX_U0TXD_U); + PIN_FUNC_SELECT(PERIPHS_IO_MUX_U0TXD_U, FUNC_U0TXD); + + // set baud rate to 115200 + uart_div_modify(0, UART_CLK_FREQ / 115200); + + if (flashing_mode) { + // even parity for ST + WRITE_PERI_REG(UART_CONF0(0), ((STICK_PARITY_EN & UART_PARITY_EN_M) << UART_PARITY_EN_S) + | ((EVEN_BITS & UART_PARITY_M) <proto.tcp->remote_ip; + ip_addr_t addr; + IP4_ADDR(&addr, conn->proto.tcp->remote_ip[0], conn->proto.tcp->remote_ip[1], conn->proto.tcp->remote_ip[2], + conn->proto.tcp->remote_ip[3]); + if (ota_ip == 0) { + // There is no previously stored IP address, so we have it. + ota_ip = addr.addr; + ota_port = conn->proto.tcp->remote_port; + ota_state = CONNECTION_ESTABLISHED; + } else if ((ota_ip != addr.addr) || (ota_port != conn->proto.tcp->remote_port)) { + // This connection is not the one curently sending OTA data. + espconn_send(conn, "ERR: Connection Already Exists\r\n", 32); + return; + } + + //os_printf("Rx packet - %d bytes, state=%d, size=%d, received=%d, len=%d.\r\n", + // len, ota_state, ota_firmware_size, ota_firmware_received, ota_firmware_len); + // OTA message sequence: + // Rx: "OTA\r\n" + // Rx: "GetNextFlash\r\n" + // Tx: "user1.bin\r\n" or "user2.bin\r\n", depending on which binary is the next one to be flashed. + // Rx: "FirmwareLength: \r\n", where "" is the number of bytes (in ASCII) to be sent in the firmware. + // Tx: "Ready\r\n" + // Rx: , for "" bytes. + // Tx: "Flashing\r\n" or "Invalid\r\n". + // Tx: "Rebooting\r\n" + uint16_t unbuffered_start = 0; + if ((ota_state == CONNECTION_ESTABLISHED) || (ota_state == RECEIVING_HEADER)) { + // Store the received bytes into the buffer. + for (uint16_t ii = 0; ii < len; ii++) { + if (ota_buffer_len < (OTA_BUFFER_LEN - 1)) { + ota_buffer[ota_buffer_len++] = data[ii]; + } else { + // The buffer has overflowed, remember where we left off. + unbuffered_start = ii; + break; + } + } + } else if (ota_state == RECEIVING_FIRMWARE) { + // Store received bytes in the firmware buffer. + uint32_t copy_len = (uint32_t)len; + if ((copy_len + ota_firmware_len) > SPI_FLASH_SEC_SIZE) { + copy_len = SPI_FLASH_SEC_SIZE - ota_firmware_len; + } + if ((copy_len + ota_firmware_received) > ota_firmware_size) { + copy_len = ota_firmware_size - ota_firmware_len; + } + os_memmove(&ota_firmware[ota_firmware_len], data, copy_len); + ota_firmware_len += copy_len; + ota_firmware_received += copy_len; + if (copy_len < len) { + unbuffered_start = copy_len; + } + } + + bool repeat = true; + while (repeat) { + uint8_t eol = 0; + switch (ota_state) { + case CONNECTION_ESTABLISHED: { + // A connection has just been established. We expect an initial line of "OTA". + eol = parse_header_line(); + if (eol > 0) { + // We have a line, it should be "OTA". + if (strncmp("OTA", ota_buffer, eol - 2)) { + // Oh dear, it's not. + espconn_send(conn, "ERR: Invalid protocol\r\n", 23); + ota_state = ERROR; + return; + } else { + // We do, move to the next line in the header. + ota_state = RECEIVING_HEADER; + } + } + break; + } + case RECEIVING_HEADER: { + // We are now receiving header lines. + eol = parse_header_line(); + if (eol > 0) { + // We have a line, see what it is. + if (!strncmp("GetNextFlash", ota_buffer, eol - 2)) { + // The remote device has requested to know what the next flash unit is. + uint8_t unit = system_upgrade_userbin_check(); // Note, returns the current unit! + if (unit == UPGRADE_FW_BIN1) { + espconn_send(conn, "user2.bin\r\n", 11); + } else { + espconn_send(conn, "user1.bin\r\n", 11); + } + } else if ((eol > 17) && (!strncmp("FirmwareLength:", ota_buffer, 15))) { + // The remote system is preparing to send the firmware. The expected length is supplied here. + uint32_t size = 0; + for (uint8_t ii = 16; ii < ota_buffer_len; ii++) { + if ((ota_buffer[ii] >= '0') && (ota_buffer[ii] <= '9')) { + size *= 10; + size += ota_buffer[ii] - '0'; + } else if ((ota_buffer[ii] == '\r') || (ota_buffer[ii] == '\n')) { + // We have finished the firmware size. + break; + } else if ((ota_buffer[ii] != ' ') && (ota_buffer[ii] != ',')) { + // Anything that's not a number, space or new-line is invalid. + size = 0; + break; + } + } + + if (size == 0) { + // We either didn't get a length, or the length is invalid. + espconn_send(conn, "ERR: Invalid firmware length\r\n", 30); + ota_state = ERROR; + return; + } else if (size > FIRMWARE_SIZE) { + // The size of the incoming firmware image is too big to fit. + espconn_send(conn, "ERR: Firmware length is too big\r\n", 33); + ota_state = ERROR; + return; + } else { + // Ready to begin flashing! + ota_firmware = (uint8_t *)os_malloc(SPI_FLASH_SEC_SIZE); + if (ota_firmware == NULL) { + espconn_send(conn, "ERR: Unable to allocate OTA buffer.\r\n", 37); + ota_state = ERROR; + return; + } + ota_firmware_size = size; + ota_firmware_received = 0; + ota_firmware_len = 0; + ota_state = RECEIVING_FIRMWARE; + + // Copy any remaining bytes from the OTA buffer to the firmware buffer. + uint8_t remaining = ota_buffer_len - eol - 1; + if (remaining > 0) { + os_memmove(ota_firmware, &ota_buffer[eol + 1], remaining); + ota_firmware_received = ota_firmware_len = (uint32_t)remaining; + } + + espconn_send(conn, "Ready\r\n", 7); + } + } else { + // We received an unexpected header line, abort. + espconn_send(conn, "ERR: Unexpected header.\r\n", 25); + ota_state = ERROR; + return; + } + } + break; + } + case RECEIVING_FIRMWARE: { + // We are now receiving the firmware image. + if ((ota_firmware_len == SPI_FLASH_SEC_SIZE) || (ota_firmware_received == ota_firmware_size)) { + // We have received a sector's worth of data, or the remainder of the flash image, flash it. + if (ota_firmware_received <= SPI_FLASH_SEC_SIZE) { + // This is the first block, check the header. + if (ota_firmware[0] != 0xEA) { + espconn_send(conn, "ERR: IROM magic missing.\r\n", 26); + ota_state = ERROR; + return; + } else if ((ota_firmware[1] != 0x04) || (ota_firmware[2] > 0x03) || + ((ota_firmware[3] >> 4) > 0x06)) { + espconn_send(conn, "ERR: Flash header invalid.\r\n", 28); + ota_state = ERROR; + return; + } else if (((uint16_t *)ota_firmware)[3] != 0x4010) { + espconn_send(conn, "ERR: Invalid entry address.\r\n", 29); + ota_state = ERROR; + return; + } else if (((uint32_t *)ota_firmware)[2] != 0x00000000) { + espconn_send(conn, "ERR: Invalid start offset.\r\n", 28); + ota_state = ERROR; + return; + } + } + + // Zero out any remaining bytes in the last block, to avoid writing dirty data. + if (ota_firmware_len < SPI_FLASH_SEC_SIZE) { + os_memset(&ota_firmware[ota_firmware_len], 0, SPI_FLASH_SEC_SIZE - ota_firmware_len); + } + + // Find out the starting address for the flash write. + int address; + uint8_t current = system_upgrade_userbin_check(); + if (current == UPGRADE_FW_BIN1) { + // The next flash, user2.bin, will start after 4KB boot, user1, 16KB user params, 4KB reserved. + address = 4*1024 + FIRMWARE_SIZE + 16*1024 + 4*1024; + } else { + // The next flash, user1.bin, will start after 4KB boot. + address = 4*1024; + } + address += ota_firmware_received - ota_firmware_len; + + + // Erase the flash block. + if ((address % SPI_FLASH_SEC_SIZE) == 0) { + spi_flash_erase_sector(address / SPI_FLASH_SEC_SIZE); + } + + // Write the new flash block. + //os_printf("Flashing address %05x, total received = %d.\n", address, ota_firmware_received); + SpiFlashOpResult res = spi_flash_write(address, (uint32_t *)ota_firmware, SPI_FLASH_SEC_SIZE); + ota_firmware_len = 0; + if (res != SPI_FLASH_RESULT_OK) { + espconn_send(conn, "ERR: Flash failed.\r\n", 20); + ota_state = ERROR; + return; + } + + if (ota_firmware_received == ota_firmware_size) { + // We've flashed all of the firmware now, reboot into the new firmware. + os_printf("Preparing to update firmware.\n"); + espconn_send(conn, "Flash upgrade success. Rebooting in 2s.\r\n", 41); + os_free(ota_firmware); + ota_firmware_size = 0; + ota_firmware_received = 0; + ota_firmware_len = 0; + ota_state = REBOOTING; + system_upgrade_flag_set(UPGRADE_FLAG_FINISH); + os_printf("Scheduling reboot.\n"); + os_timer_disarm(&ota_reboot_timer); + os_timer_setfn(&ota_reboot_timer, (os_timer_func_t *)system_upgrade_reboot, NULL); + os_timer_arm(&ota_reboot_timer, 2000, 1); + } + } + break; + } + } + + // Clear out the processed bytes from the buffer, if any. + repeat = false; + if ((ota_state == CONNECTION_ESTABLISHED) || (ota_state == RECEIVING_HEADER)) { + // In these states, we're still going to be using the buffer. + if (eol < (ota_buffer_len - 1)) { + // There are still more characters in the buffer yet to process, move them to the start of the buffer. + os_memmove(&ota_buffer[0], &ota_buffer[eol + 1], ota_buffer_len - eol - 1); + ota_buffer_len = ota_buffer_len - eol - 1; + repeat = true; + } else { + ota_buffer_len = 0; + } + + if (unbuffered_start > 0) { + // Store unbuffered bytes to the end of the buffer. + for (uint16_t ii = unbuffered_start; ii < len; ii++) { + if (ota_buffer_len < (OTA_BUFFER_LEN - 1)) { + ota_buffer[ota_buffer_len++] = data[ii]; + unbuffered_start = 0; + repeat = true; + } else { + // The buffer has overflowed again, remember where we left off. + unbuffered_start = ii; + break; + } + } + } + } else if (ota_state == RECEIVING_FIRMWARE) { + if (unbuffered_start > 0) { + // Store unbuffered bytes in the firmware buffer. + uint32_t copy_len = (uint32_t)(len - unbuffered_start); + if ((copy_len + ota_firmware_len) > SPI_FLASH_SEC_SIZE) { + copy_len = SPI_FLASH_SEC_SIZE - ota_firmware_len; + } + if ((copy_len + ota_firmware_received) > ota_firmware_size) { + copy_len = ota_firmware_size - ota_firmware_len; + } + os_memmove(&ota_firmware[ota_firmware_len], &data[unbuffered_start], copy_len); + ota_firmware_len += copy_len; + ota_firmware_received += copy_len; + if (copy_len < (len - unbuffered_start)) { + unbuffered_start += copy_len; + } else { + unbuffered_start = 0; + } + repeat = true; + } + } + } +} + +// Returns the number of bytes in the message buffer for a single header line, or zero if no header is found. +LOCAL uint8_t ICACHE_FLASH_ATTR parse_header_line() { + for (uint8_t ii = 0; ii < ota_buffer_len - 1; ii++) { + if ((ota_buffer[ii] == '\r') && (ota_buffer[ii + 1] == '\n')) { + // We have found the end of line markers. + return ii + 1; + } + } + + // If we get here, we didn't find the end of line markers. + return 0; +} + +/* + * Call-back for when a TCP connection has been disconnected. + */ +LOCAL void ICACHE_FLASH_ATTR ota_disc_cb(void *arg) { + // Reset the connection information, if we haven't progressed far enough. + if ((ota_state != NOT_STARTED) && (ota_state != REBOOTING)) { + ota_ip = 0; + ota_port = 0; + ota_state = NOT_STARTED; + + ota_buffer_len = 0; + if (ota_firmware != NULL) { + os_free(ota_firmware); + ota_firmware = NULL; + ota_firmware_size = 0; + ota_firmware_len = 0; + } + } +} + +/* + * Call-back for when a TCP connection has failed - reconnected is a misleading name, sadly. + */ +LOCAL void ICACHE_FLASH_ATTR ota_recon_cb(void *arg, int8_t err) { + // Use the disconnect call-back to process this event. + ota_disc_cb(arg); +} + +/* + * Call-back for when an incoming TCP connection has been established. + */ +LOCAL void ICACHE_FLASH_ATTR ota_tcp_connect_cb(void *arg) { + struct espconn *conn = (struct espconn *)arg; + os_printf("TCP OTA connection received from "IPSTR":%d\n", + IP2STR(conn->proto.tcp->remote_ip), conn->proto.tcp->remote_port); + + // See if this connection is allowed. + if (ota_ip == 0) { + // Now that we have a connection, register some call-backs. + espconn_regist_recvcb(conn, ota_rx_cb); + espconn_regist_disconcb(conn, ota_disc_cb); + espconn_regist_reconcb(conn, ota_recon_cb); + } +} + +/* + * Initialises the required connection information to listen for OTA messages. + * WiFi must first have been set up for this to succeed. + */ +void ICACHE_FLASH_ATTR ota_init() { + ota_proto.local_port = OTA_PORT; + ota_conn.type = ESPCONN_TCP; + ota_conn.state = ESPCONN_NONE; + ota_conn.proto.tcp = &ota_proto; + espconn_regist_connectcb(&ota_conn, ota_tcp_connect_cb); + espconn_accept(&ota_conn); +} diff --git a/boardesp/tools/esptool.py b/boardesp/tools/esptool.py new file mode 100755 index 0000000..b14de12 --- /dev/null +++ b/boardesp/tools/esptool.py @@ -0,0 +1,1309 @@ +#!/usr/bin/env python +# NB: Before sending a PR to change the above line to '#!/usr/bin/env python2', please read https://github.com/themadinventor/esptool/issues/21 +# +# ESP8266 ROM Bootloader Utility +# https://github.com/themadinventor/esptool +# +# Copyright (C) 2014-2016 Fredrik Ahlberg, Angus Gratton, other contributors as noted. +# +# This program is free software; you can redistribute it and/or modify it under +# the terms of the GNU General Public License as published by the Free Software +# Foundation; either version 2 of the License, or (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, but WITHOUT +# ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS +# FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License along with +# this program; if not, write to the Free Software Foundation, Inc., 51 Franklin +# Street, Fifth Floor, Boston, MA 02110-1301 USA. + +import argparse +import hashlib +import inspect +import json +import os +#import serial +import struct +import subprocess +import sys +import tempfile +import time +import traceback + + +__version__ = "1.2" + +import usb1 +class FakePort(object): + def __init__(self): + context = usb1.USBContext() + + for device in context.getDeviceList(skip_on_error=True): + if device.getVendorID() == 0xbbaa and device.getProductID() == 0xddcc: + print "found device" + self.handle = device.open() + self.handle.claimInterface(0) + + def write(self, buf): + SEND_STEP = 0x20 + for i in range(0, len(buf), SEND_STEP): + self.handle.bulkWrite(2, "\x01" + buf[i:i+SEND_STEP]) + + def flushInput(self): + while self.handle.controlRead(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xe0, 1, 0, 0x100) != "": + pass + + def flushOutput(self): + pass + + def read(self, llen): + ret = self.handle.controlRead(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xe0, 1, 0, 1) + if ret == '': + time.sleep(0.1) + ret = self.handle.controlRead(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xe0, 1, 0, 1) + return str(ret) + + def reset(self): + self.handle.controlWrite(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xda, 1, 0, '') + time.sleep(0.2) + + def inWaiting(self): + return False + +class ESPROM(object): + # These are the currently known commands supported by the ROM + ESP_FLASH_BEGIN = 0x02 + ESP_FLASH_DATA = 0x03 + ESP_FLASH_END = 0x04 + ESP_MEM_BEGIN = 0x05 + ESP_MEM_END = 0x06 + ESP_MEM_DATA = 0x07 + ESP_SYNC = 0x08 + ESP_WRITE_REG = 0x09 + ESP_READ_REG = 0x0a + + # Maximum block sized for RAM and Flash writes, respectively. + ESP_RAM_BLOCK = 0x1800 + ESP_FLASH_BLOCK = 0x400 + + # Default baudrate. The ROM auto-bauds, so we can use more or less whatever we want. + ESP_ROM_BAUD = 115200 + + # First byte of the application image + ESP_IMAGE_MAGIC = 0xe9 + + # Initial state for the checksum routine + ESP_CHECKSUM_MAGIC = 0xef + + # OTP ROM addresses + ESP_OTP_MAC0 = 0x3ff00050 + ESP_OTP_MAC1 = 0x3ff00054 + ESP_OTP_MAC3 = 0x3ff0005c + + # Flash sector size, minimum unit of erase. + ESP_FLASH_SECTOR = 0x1000 + + def __init__(self, port=0, baud=ESP_ROM_BAUD): + self._port = FakePort() + self._slip_reader = slip_reader(self._port) + + """ Read a SLIP packet from the serial port """ + def read(self): + return self._slip_reader.next() + + """ Write bytes to the serial port while performing SLIP escaping """ + def write(self, packet): + buf = '\xc0' \ + + (packet.replace('\xdb','\xdb\xdd').replace('\xc0','\xdb\xdc')) \ + + '\xc0' + self._port.write(buf) + + """ Calculate checksum of a blob, as it is defined by the ROM """ + @staticmethod + def checksum(data, state=ESP_CHECKSUM_MAGIC): + for b in data: + state ^= ord(b) + return state + + """ Send a request and read the response """ + def command(self, op=None, data=None, chk=0): + if op is not None: + pkt = struct.pack('> 16) & 0xff, (mac3 >> 8) & 0xff, mac3 & 0xff) + elif ((mac1 >> 16) & 0xff) == 0: + oui = (0x18, 0xfe, 0x34) + elif ((mac1 >> 16) & 0xff) == 1: + oui = (0xac, 0xd0, 0x74) + else: + raise FatalError("Unknown OUI") + return oui + ((mac1 >> 8) & 0xff, mac1 & 0xff, (mac0 >> 24) & 0xff) + + """ Read Chip ID from OTP ROM - see http://esp8266-re.foogod.com/wiki/System_get_chip_id_%28IoT_RTOS_SDK_0.9.9%29 """ + def chip_id(self): + id0 = self.read_reg(self.ESP_OTP_MAC0) + id1 = self.read_reg(self.ESP_OTP_MAC1) + return (id0 >> 24) | ((id1 & 0xffffff) << 8) + + """ Read SPI flash manufacturer and device id """ + def flash_id(self): + self.flash_begin(0, 0) + self.write_reg(0x60000240, 0x0, 0xffffffff) + self.write_reg(0x60000200, 0x10000000, 0xffffffff) + flash_id = self.read_reg(0x60000240) + return flash_id + + """ Abuse the loader protocol to force flash to be left in write mode """ + def flash_unlock_dio(self): + # Enable flash write mode + self.flash_begin(0, 0) + # Reset the chip rather than call flash_finish(), which would have + # write protected the chip again (why oh why does it do that?!) + self.mem_begin(0,0,0,0x40100000) + self.mem_finish(0x40000080) + + """ Perform a chip erase of SPI flash """ + def flash_erase(self): + # Trick ROM to initialize SFlash + self.flash_begin(0, 0) + + # This is hacky: we don't have a custom stub, instead we trick + # the bootloader to jump to the SPIEraseChip() routine and then halt/crash + # when it tries to boot an unconfigured system. + self.mem_begin(0,0,0,0x40100000) + self.mem_finish(0x40004984) + + # Yup - there's no good way to detect if we succeeded. + # It it on the other hand unlikely to fail. + + def run_stub(self, stub, params, read_output=True): + stub = dict(stub) + stub['code'] = unhexify(stub['code']) + if 'data' in stub: + stub['data'] = unhexify(stub['data']) + + if stub['num_params'] != len(params): + raise FatalError('Stub requires %d params, %d provided' + % (stub['num_params'], len(params))) + + params = struct.pack('<' + ('I' * stub['num_params']), *params) + pc = params + stub['code'] + + # Upload + self.mem_begin(len(pc), 1, len(pc), stub['params_start']) + self.mem_block(pc, 0) + if 'data' in stub: + self.mem_begin(len(stub['data']), 1, len(stub['data']), stub['data_start']) + self.mem_block(stub['data'], 0) + self.mem_finish(stub['entry']) + + if read_output: + print 'Stub executed, reading response:' + while True: + p = self.read() + print hexify(p) + if p == '': + return + + +class ESPBOOTLOADER(object): + """ These are constants related to software ESP bootloader, working with 'v2' image files """ + + # First byte of the "v2" application image + IMAGE_V2_MAGIC = 0xea + + # First 'segment' value in a "v2" application image, appears to be a constant version value? + IMAGE_V2_SEGMENT = 4 + + +def LoadFirmwareImage(filename): + """ Load a firmware image, without knowing what kind of file (v1 or v2) it is. + + Returns a BaseFirmwareImage subclass, either ESPFirmwareImage (v1) or OTAFirmwareImage (v2). + """ + with open(filename, 'rb') as f: + magic = ord(f.read(1)) + f.seek(0) + if magic == ESPROM.ESP_IMAGE_MAGIC: + return ESPFirmwareImage(f) + elif magic == ESPBOOTLOADER.IMAGE_V2_MAGIC: + return OTAFirmwareImage(f) + else: + raise FatalError("Invalid image magic number: %d" % magic) + + +class BaseFirmwareImage(object): + """ Base class with common firmware image functions """ + def __init__(self): + self.segments = [] + self.entrypoint = 0 + + def add_segment(self, addr, data, pad_to=4): + """ Add a segment to the image, with specified address & data + (padded to a boundary of pad_to size) """ + # Data should be aligned on word boundary + l = len(data) + if l % pad_to: + data += b"\x00" * (pad_to - l % pad_to) + if l > 0: + self.segments.append((addr, len(data), data)) + + def load_segment(self, f, is_irom_segment=False): + """ Load the next segment from the image file """ + (offset, size) = struct.unpack(' 0x40200000 or offset < 0x3ffe0000 or size > 65536: + raise FatalError('Suspicious segment 0x%x, length %d' % (offset, size)) + segment_data = f.read(size) + if len(segment_data) < size: + raise FatalError('End of file reading segment 0x%x, length %d (actual length %d)' % (offset, size, len(segment_data))) + segment = (offset, size, segment_data) + self.segments.append(segment) + return segment + + def save_segment(self, f, segment, checksum=None): + """ Save the next segment to the image file, return next checksum value if provided """ + (offset, size, data) = segment + f.write(struct.pack(' 16: + raise FatalError('Invalid firmware image magic=%d segments=%d' % (magic, segments)) + + for i in xrange(segments): + self.load_segment(load_file) + self.checksum = self.read_checksum(load_file) + + def save(self, filename): + with open(filename, 'wb') as f: + self.write_v1_header(f, self.segments) + checksum = ESPROM.ESP_CHECKSUM_MAGIC + for segment in self.segments: + checksum = self.save_segment(f, segment, checksum) + self.append_checksum(f, checksum) + + +class OTAFirmwareImage(BaseFirmwareImage): + """ 'Version 2' firmware image, segments loaded by software bootloader stub + (ie Espressif bootloader or rboot) + """ + def __init__(self, load_file=None): + super(OTAFirmwareImage, self).__init__() + self.version = 2 + if load_file is not None: + (magic, segments, first_flash_mode, first_flash_size_freq, first_entrypoint) = struct.unpack(' 16: + raise FatalError('Invalid V2 second header magic=%d segments=%d' % (magic, segments)) + + # load all the usual segments + for _ in xrange(segments): + self.load_segment(load_file) + self.checksum = self.read_checksum(load_file) + + def save(self, filename): + with open(filename, 'wb') as f: + # Save first header for irom0 segment + f.write(struct.pack(' 0: + esp._port.baudrate = baud_rate + # Read the greeting. + p = esp.read() + if p != 'OHAI': + raise FatalError('Failed to connect to the flasher (got %s)' % hexify(p)) + + def flash_write(self, addr, data, show_progress=False): + assert addr % self._esp.ESP_FLASH_SECTOR == 0, 'Address must be sector-aligned' + assert len(data) % self._esp.ESP_FLASH_SECTOR == 0, 'Length must be sector-aligned' + sys.stdout.write('Writing %d @ 0x%x... ' % (len(data), addr)) + sys.stdout.flush() + self._esp.write(struct.pack(' length: + raise FatalError('Read more than expected') + p = self._esp.read() + if len(p) != 16: + raise FatalError('Expected digest, got: %s' % hexify(p)) + expected_digest = hexify(p).upper() + digest = hashlib.md5(data).hexdigest().upper() + print + if digest != expected_digest: + raise FatalError('Digest mismatch: expected %s, got %s' % (expected_digest, digest)) + p = self._esp.read() + if len(p) != 1: + raise FatalError('Expected status, got: %s' % hexify(p)) + status_code = struct.unpack(', ) or a single +# argument. + +def load_ram(esp, args): + image = LoadFirmwareImage(args.filename) + + print 'RAM boot...' + for (offset, size, data) in image.segments: + print 'Downloading %d bytes at %08x...' % (size, offset), + sys.stdout.flush() + esp.mem_begin(size, div_roundup(size, esp.ESP_RAM_BLOCK), esp.ESP_RAM_BLOCK, offset) + + seq = 0 + while len(data) > 0: + esp.mem_block(data[0:esp.ESP_RAM_BLOCK], seq) + data = data[esp.ESP_RAM_BLOCK:] + seq += 1 + print 'done!' + + print 'All segments done, executing at %08x' % image.entrypoint + esp.mem_finish(image.entrypoint) + + +def read_mem(esp, args): + print '0x%08x = 0x%08x' % (args.address, esp.read_reg(args.address)) + + +def write_mem(esp, args): + esp.write_reg(args.address, args.value, args.mask, 0) + print 'Wrote %08x, mask %08x to %08x' % (args.value, args.mask, args.address) + + +def dump_mem(esp, args): + f = file(args.filename, 'wb') + for i in xrange(args.size / 4): + d = esp.read_reg(args.address + (i * 4)) + f.write(struct.pack('> 16 + args.flash_size = {18: '2m', 19: '4m', 20: '8m', 21: '16m', 22: '32m'}.get(size_id) + if args.flash_size is None: + print 'Warning: Could not auto-detect Flash size (FlashID=0x%x, SizeID=0x%x), defaulting to 4m' % (flash_id, size_id) + args.flash_size = '4m' + else: + print 'Auto-detected Flash size:', args.flash_size + + +def write_flash(esp, args): + detect_flash_size(esp, args) + flash_mode = {'qio':0, 'qout':1, 'dio':2, 'dout': 3}[args.flash_mode] + flash_size_freq = {'4m':0x00, '2m':0x10, '8m':0x20, '16m':0x30, '32m':0x40, '16m-c1': 0x50, '32m-c1':0x60, '32m-c2':0x70}[args.flash_size] + flash_size_freq += {'40m':0, '26m':1, '20m':2, '80m': 0xf}[args.flash_freq] + flash_params = struct.pack('BB', flash_mode, flash_size_freq) + + flasher = CesantaFlasher(esp, args.baud) + + for address, argfile in args.addr_filename: + image = argfile.read() + argfile.seek(0) # rewind in case we need it again + if address + len(image) > int(args.flash_size.split('m')[0]) * (1 << 17): + print 'WARNING: Unlikely to work as data goes beyond end of flash. Hint: Use --flash_size' + # Fix sflash config data. + if address == 0 and image[0] == '\xe9': + print 'Flash params set to 0x%02x%02x' % (flash_mode, flash_size_freq) + image = image[0:2] + flash_params + image[4:] + # Pad to sector size, which is the minimum unit of writing (erasing really). + if len(image) % esp.ESP_FLASH_SECTOR != 0: + image += '\xff' * (esp.ESP_FLASH_SECTOR - (len(image) % esp.ESP_FLASH_SECTOR)) + t = time.time() + flasher.flash_write(address, image, not args.no_progress) + t = time.time() - t + print ('\rWrote %d bytes at 0x%x in %.1f seconds (%.1f kbit/s)...' + % (len(image), address, t, len(image) / t * 8 / 1000)) + print 'Leaving...' + if args.verify: + print 'Verifying just-written flash...' + _verify_flash(flasher, args, flash_params) + flasher.boot_fw() + + +def image_info(args): + image = LoadFirmwareImage(args.filename) + print('Image version: %d' % image.version) + print('Entry point: %08x' % image.entrypoint) if image.entrypoint != 0 else 'Entry point not set' + print '%d segments' % len(image.segments) + print + checksum = ESPROM.ESP_CHECKSUM_MAGIC + for (idx, (offset, size, data)) in enumerate(image.segments): + if image.version == 2 and idx == 0: + print 'Segment 1: %d bytes IROM0 (no load address)' % size + else: + print 'Segment %d: %5d bytes at %08x' % (idx + 1, size, offset) + checksum = ESPROM.checksum(data, checksum) + print + print 'Checksum: %02x (%s)' % (image.checksum, 'valid' if image.checksum == checksum else 'invalid!') + + +def make_image(args): + image = ESPFirmwareImage() + if len(args.segfile) == 0: + raise FatalError('No segments specified') + if len(args.segfile) != len(args.segaddr): + raise FatalError('Number of specified files does not match number of specified addresses') + for (seg, addr) in zip(args.segfile, args.segaddr): + data = file(seg, 'rb').read() + image.add_segment(addr, data) + image.entrypoint = args.entrypoint + image.save(args.output) + + +def elf2image(args): + e = ELFFile(args.input) + if args.version == '1': + image = ESPFirmwareImage() + else: + image = OTAFirmwareImage() + irom_data = e.load_section('.irom0.text') + if len(irom_data) == 0: + raise FatalError(".irom0.text section not found in ELF file - can't create V2 image.") + image.add_segment(0, irom_data, 16) + image.entrypoint = e.get_entry_point() + for section, start in ((".text", "_text_start"), (".data", "_data_start"), (".rodata", "_rodata_start")): + data = e.load_section(section) + image.add_segment(e.get_symbol_addr(start), data) + + image.flash_mode = {'qio':0, 'qout':1, 'dio':2, 'dout': 3}[args.flash_mode] + image.flash_size_freq = {'4m':0x00, '2m':0x10, '8m':0x20, '16m':0x30, '32m':0x40, '16m-c1': 0x50, '32m-c1':0x60, '32m-c2':0x70}[args.flash_size] + image.flash_size_freq += {'40m':0, '26m':1, '20m':2, '80m': 0xf}[args.flash_freq] + + irom_offs = e.get_symbol_addr("_irom0_text_start") - 0x40200000 + + if args.version == '1': + if args.output is None: + args.output = args.input + '-' + image.save(args.output + "0x00000.bin") + data = e.load_section(".irom0.text") + if irom_offs < 0: + raise FatalError('Address of symbol _irom0_text_start in ELF is located before flash mapping address. Bad linker script?') + if (irom_offs & 0xFFF) != 0: # irom0 isn't flash sector aligned + print "WARNING: irom0 section offset is 0x%08x. ELF is probably linked for 'elf2image --version=2'" % irom_offs + with open(args.output + "0x%05x.bin" % irom_offs, "wb") as f: + f.write(data) + f.close() + else: # V2 OTA image + if args.output is None: + args.output = "%s-0x%05x.bin" % (os.path.splitext(args.input)[0], irom_offs & ~(ESPROM.ESP_FLASH_SECTOR - 1)) + image.save(args.output) + + +def read_mac(esp, args): + mac = esp.read_mac() + print 'MAC: %s' % ':'.join(map(lambda x: '%02x' % x, mac)) + + +def chip_id(esp, args): + chipid = esp.chip_id() + print 'Chip ID: 0x%08x' % chipid + + +def erase_flash(esp, args): + flasher = CesantaFlasher(esp, args.baud) + print 'Erasing flash (this may take a while)...' + t = time.time() + flasher.flash_erase_chip() + t = time.time() - t + print 'Erase took %.1f seconds' % t + + +def run(esp, args): + esp.run() + + +def flash_id(esp, args): + flash_id = esp.flash_id() + esp.flash_finish(False) + print 'Manufacturer: %02x' % (flash_id & 0xff) + print 'Device: %02x%02x' % ((flash_id >> 8) & 0xff, (flash_id >> 16) & 0xff) + + +def read_flash(esp, args): + flasher = CesantaFlasher(esp, args.baud) + t = time.time() + data = flasher.flash_read(args.address, args.size, not args.no_progress) + t = time.time() - t + print ('\rRead %d bytes at 0x%x in %.1f seconds (%.1f kbit/s)...' + % (len(data), args.address, t, len(data) / t * 8 / 1000)) + file(args.filename, 'wb').write(data) + + +def _verify_flash(flasher, args, flash_params=None): + differences = False + for address, argfile in args.addr_filename: + image = argfile.read() + argfile.seek(0) # rewind in case we need it again + if address == 0 and image[0] == '\xe9' and flash_params is not None: + image = image[0:2] + flash_params + image[4:] + image_size = len(image) + print 'Verifying 0x%x (%d) bytes @ 0x%08x in flash against %s...' % (image_size, image_size, address, argfile.name) + # Try digest first, only read if there are differences. + digest, _ = flasher.flash_digest(address, image_size) + digest = hexify(digest).upper() + expected_digest = hashlib.md5(image).hexdigest().upper() + if digest == expected_digest: + print '-- verify OK (digest matched)' + continue + else: + differences = True + if getattr(args, 'diff', 'no') != 'yes': + print '-- verify FAILED (digest mismatch)' + continue + + flash = flasher.flash_read(address, image_size) + assert flash != image + diff = [i for i in xrange(image_size) if flash[i] != image[i]] + print '-- verify FAILED: %d differences, first @ 0x%08x' % (len(diff), address + diff[0]) + for d in diff: + print ' %08x %02x %02x' % (address + d, ord(flash[d]), ord(image[d])) + if differences: + raise FatalError("Verify failed.") + + +def verify_flash(esp, args, flash_params=None): + flasher = CesantaFlasher(esp) + _verify_flash(flasher, args, flash_params) + + +def version(args): + print __version__ + +# +# End of operations functions +# + + +def main(): + parser = argparse.ArgumentParser(description='esptool.py v%s - ESP8266 ROM Bootloader Utility' % __version__, prog='esptool') + + parser.add_argument( + '--port', '-p', + help='Serial port device', + default=os.environ.get('ESPTOOL_PORT', '/dev/ttyUSB0')) + + parser.add_argument( + '--baud', '-b', + help='Serial port baud rate used when flashing/reading', + type=arg_auto_int, + default=os.environ.get('ESPTOOL_BAUD', ESPROM.ESP_ROM_BAUD)) + + subparsers = parser.add_subparsers( + dest='operation', + help='Run esptool {command} -h for additional help') + + parser_load_ram = subparsers.add_parser( + 'load_ram', + help='Download an image to RAM and execute') + parser_load_ram.add_argument('filename', help='Firmware image') + + parser_dump_mem = subparsers.add_parser( + 'dump_mem', + help='Dump arbitrary memory to disk') + parser_dump_mem.add_argument('address', help='Base address', type=arg_auto_int) + parser_dump_mem.add_argument('size', help='Size of region to dump', type=arg_auto_int) + parser_dump_mem.add_argument('filename', help='Name of binary dump') + + parser_read_mem = subparsers.add_parser( + 'read_mem', + help='Read arbitrary memory location') + parser_read_mem.add_argument('address', help='Address to read', type=arg_auto_int) + + parser_write_mem = subparsers.add_parser( + 'write_mem', + help='Read-modify-write to arbitrary memory location') + parser_write_mem.add_argument('address', help='Address to write', type=arg_auto_int) + parser_write_mem.add_argument('value', help='Value', type=arg_auto_int) + parser_write_mem.add_argument('mask', help='Mask of bits to write', type=arg_auto_int) + + def add_spi_flash_subparsers(parent, auto_detect=False): + """ Add common parser arguments for SPI flash properties """ + parent.add_argument('--flash_freq', '-ff', help='SPI Flash frequency', + choices=['40m', '26m', '20m', '80m'], + default=os.environ.get('ESPTOOL_FF', '40m')) + parent.add_argument('--flash_mode', '-fm', help='SPI Flash mode', + choices=['qio', 'qout', 'dio', 'dout'], + default=os.environ.get('ESPTOOL_FM', 'qio')) + choices = ['4m', '2m', '8m', '16m', '32m', '16m-c1', '32m-c1', '32m-c2'] + default = '4m' + if auto_detect: + default = 'detect' + choices.insert(0, 'detect') + parent.add_argument('--flash_size', '-fs', help='SPI Flash size in Mbit', type=str.lower, + choices=choices, + default=os.environ.get('ESPTOOL_FS', default)) + + parser_write_flash = subparsers.add_parser( + 'write_flash', + help='Write a binary blob to flash') + parser_write_flash.add_argument('addr_filename', metavar='
', help='Address followed by binary filename, separated by space', + action=AddrFilenamePairAction) + add_spi_flash_subparsers(parser_write_flash, auto_detect=True) + parser_write_flash.add_argument('--no-progress', '-p', help='Suppress progress output', action="store_true") + parser_write_flash.add_argument('--verify', help='Verify just-written data (only necessary if very cautious, data is already CRCed', action='store_true') + + subparsers.add_parser( + 'run', + help='Run application code in flash') + + parser_image_info = subparsers.add_parser( + 'image_info', + help='Dump headers from an application image') + parser_image_info.add_argument('filename', help='Image file to parse') + + parser_make_image = subparsers.add_parser( + 'make_image', + help='Create an application image from binary files') + parser_make_image.add_argument('output', help='Output image file') + parser_make_image.add_argument('--segfile', '-f', action='append', help='Segment input file') + parser_make_image.add_argument('--segaddr', '-a', action='append', help='Segment base address', type=arg_auto_int) + parser_make_image.add_argument('--entrypoint', '-e', help='Address of entry point', type=arg_auto_int, default=0) + + parser_elf2image = subparsers.add_parser( + 'elf2image', + help='Create an application image from ELF file') + parser_elf2image.add_argument('input', help='Input ELF file') + parser_elf2image.add_argument('--output', '-o', help='Output filename prefix (for version 1 image), or filename (for version 2 single image)', type=str) + parser_elf2image.add_argument('--version', '-e', help='Output image version', choices=['1','2'], default='1') + add_spi_flash_subparsers(parser_elf2image) + + subparsers.add_parser( + 'read_mac', + help='Read MAC address from OTP ROM') + + subparsers.add_parser( + 'chip_id', + help='Read Chip ID from OTP ROM') + + subparsers.add_parser( + 'flash_id', + help='Read SPI flash manufacturer and device ID') + + parser_read_flash = subparsers.add_parser( + 'read_flash', + help='Read SPI flash content') + parser_read_flash.add_argument('address', help='Start address', type=arg_auto_int) + parser_read_flash.add_argument('size', help='Size of region to dump', type=arg_auto_int) + parser_read_flash.add_argument('filename', help='Name of binary dump') + parser_read_flash.add_argument('--no-progress', '-p', help='Suppress progress output', action="store_true") + + parser_verify_flash = subparsers.add_parser( + 'verify_flash', + help='Verify a binary blob against flash') + parser_verify_flash.add_argument('addr_filename', help='Address and binary file to verify there, separated by space', + action=AddrFilenamePairAction) + parser_verify_flash.add_argument('--diff', '-d', help='Show differences', + choices=['no', 'yes'], default='no') + + subparsers.add_parser( + 'erase_flash', + help='Perform Chip Erase on SPI flash') + + subparsers.add_parser( + 'version', help='Print esptool version') + + # internal sanity check - every operation matches a module function of the same name + for operation in subparsers.choices.keys(): + assert operation in globals(), "%s should be a module function" % operation + + args = parser.parse_args() + + print 'esptool.py v%s' % __version__ + + # operation function can take 1 arg (args), 2 args (esp, arg) + # or be a member function of the ESPROM class. + + operation_func = globals()[args.operation] + operation_args,_,_,_ = inspect.getargspec(operation_func) + if operation_args[0] == 'esp': # operation function takes an ESPROM connection object + initial_baud = min(ESPROM.ESP_ROM_BAUD, args.baud) # don't sync faster than the default baud rate + esp = ESPROM(args.port, initial_baud) + esp.connect() + operation_func(esp, args) + else: + operation_func(args) + + +class AddrFilenamePairAction(argparse.Action): + """ Custom parser class for the address/filename pairs passed as arguments """ + def __init__(self, option_strings, dest, nargs='+', **kwargs): + super(AddrFilenamePairAction, self).__init__(option_strings, dest, nargs, **kwargs) + + def __call__(self, parser, namespace, values, option_string=None): + # validate pair arguments + pairs = [] + for i in range(0,len(values),2): + try: + address = int(values[i],0) + except ValueError as e: + raise argparse.ArgumentError(self,'Address "%s" must be a number' % values[i]) + try: + argfile = open(values[i + 1], 'rb') + except IOError as e: + raise argparse.ArgumentError(self, e) + except IndexError: + raise argparse.ArgumentError(self,'Must be pairs of an address and the binary filename to write there') + pairs.append((address, argfile)) + setattr(namespace, self.dest, pairs) + +# This is "wrapped" stub_flasher.c, to be loaded using run_stub. +_CESANTA_FLASHER_STUB = """\ +{"code_start": 1074790404, "code": "080000601C000060000000601000006031FCFF71FCFF\ +81FCFFC02000680332D218C020004807404074DCC48608005823C0200098081BA5A9239245005803\ +1B555903582337350129230B446604DFC6F3FF21EEFFC0200069020DF0000000010078480040004A\ +0040B449004012C1F0C921D911E901DD0209312020B4ED033C2C56C2073020B43C3C56420701F5FF\ +C000003C4C569206CD0EEADD860300202C4101F1FFC0000056A204C2DCF0C02DC0CC6CCAE2D1EAFF\ +0606002030F456D3FD86FBFF00002020F501E8FFC00000EC82D0CCC0C02EC0C73DEB2ADC46030020\ +2C4101E1FFC00000DC42C2DCF0C02DC056BCFEC602003C5C8601003C6C4600003C7C08312D0CD811\ +C821E80112C1100DF0000C180000140010400C0000607418000064180000801800008C1800008418\ +0000881800009018000018980040880F0040A80F0040349800404C4A0040740F0040800F0040980F\ +00400099004012C1E091F5FFC961CD0221EFFFE941F9310971D9519011C01A223902E2D1180C0222\ +6E1D21E4FF31E9FF2AF11A332D0F42630001EAFFC00000C030B43C2256A31621E1FF1A2228022030\ +B43C3256B31501ADFFC00000DD023C4256ED1431D6FF4D010C52D90E192E126E0101DDFFC0000021\ +D2FF32A101C020004802303420C0200039022C0201D7FFC00000463300000031CDFF1A333803D023\ +C03199FF27B31ADC7F31CBFF1A3328030198FFC0000056C20E2193FF2ADD060E000031C6FF1A3328\ +030191FFC0000056820DD2DD10460800000021BEFF1A2228029CE231BCFFC020F51A33290331BBFF\ +C02C411A332903C0F0F4222E1D22D204273D9332A3FFC02000280E27B3F721ABFF381E1A2242A400\ +01B5FFC00000381E2D0C42A40001B3FFC0000056120801B2FFC00000C02000280EC2DC0422D2FCC0\ +2000290E01ADFFC00000222E1D22D204226E1D281E22D204E7B204291E860000126E012198FF32A0\ +042A21C54C003198FF222E1D1A33380337B202C6D6FF2C02019FFFC000002191FF318CFF1A223A31\ +019CFFC00000218DFF1C031A22C549000C02060300003C528601003C624600003C72918BFF9A1108\ +71C861D851E841F83112C1200DF00010000068100000581000007010000074100000781000007C10\ +0000801000001C4B0040803C004091FDFF12C1E061F7FFC961E941F9310971D9519011C01A662906\ +21F3FFC2D1101A22390231F2FF0C0F1A33590331EAFFF26C1AED045C2247B3028636002D0C016DFF\ +C0000021E5FF41EAFF2A611A4469040622000021E4FF1A222802F0D2C0D7BE01DD0E31E0FF4D0D1A\ +3328033D0101E2FFC00000561209D03D2010212001DFFFC000004D0D2D0C3D01015DFFC0000041D5\ +FFDAFF1A444804D0648041D2FF1A4462640061D1FF106680622600673F1331D0FF10338028030C43\ +853A002642164613000041CAFF222C1A1A444804202FC047328006F6FF222C1A273F3861C2FF222C\ +1A1A6668066732B921BDFF3D0C1022800148FFC0000021BAFF1C031A2201BFFFC000000C02460300\ +5C3206020000005C424600005C5291B7FF9A110871C861D851E841F83112C1200DF0B0100000C010\ +0000D010000012C1E091FEFFC961D951E9410971F931CD039011C0ED02DD0431A1FF9C1422A06247\ +B302062D0021F4FF1A22490286010021F1FF1A223902219CFF2AF12D0F011FFFC00000461C0022D1\ +10011CFFC0000021E9FFFD0C1A222802C7B20621E6FF1A22F8022D0E3D014D0F0195FFC000008C52\ +22A063C6180000218BFF3D01102280F04F200111FFC00000AC7D22D1103D014D0F010DFFC0000021\ +D6FF32D110102280010EFFC0000021D3FF1C031A220185FFC00000FAEEF0CCC056ACF821CDFF317A\ +FF1A223A310105FFC0000021C9FF1C031A22017CFFC000002D0C91C8FF9A110871C861D851E841F8\ +3112C1200DF0000200600000001040020060FFFFFF0012C1E00C02290131FAFF21FAFF026107C961\ +C02000226300C02000C80320CC10564CFF21F5FFC02000380221F4FF20231029010C432D010163FF\ +C0000008712D0CC86112C1200DF00080FE3F8449004012C1D0C9A109B17CFC22C1110C13C51C0026\ +1202463000220111C24110B68202462B0031F5FF3022A02802A002002D011C03851A0066820A2801\ +32210105A6FF0607003C12C60500000010212032A01085180066A20F2221003811482105B3FF2241\ +10861A004C1206FDFF2D011C03C5160066B20E280138114821583185CFFF06F7FF005C1286F5FF00\ +10212032A01085140066A20D2221003811482105E1FF06EFFF0022A06146EDFF45F0FFC6EBFF0000\ +01D2FFC0000006E9FF000C022241100C1322C110C50F00220111060600000022C1100C13C50E0022\ +011132C2FA303074B6230206C8FF08B1C8A112C1300DF0000000000010404F484149007519031027\ +000000110040A8100040BC0F0040583F0040CC2E00401CE20040D83900408000004021F4FF12C1E0\ +C961C80221F2FF097129010C02D951C91101F4FFC0000001F3FFC00000AC2C22A3E801F2FFC00000\ +21EAFFC031412A233D0C01EFFFC000003D0222A00001EDFFC00000C1E4FF2D0C01E8FFC000002D01\ +32A004450400C5E7FFDD022D0C01E3FFC00000666D1F4B2131DCFF4600004B22C0200048023794F5\ +31D9FFC0200039023DF08601000001DCFFC000000871C861D85112C1200DF000000012C1F0026103\ +01EAFEC00000083112C1100DF000643B004012C1D0E98109B1C9A1D991F97129013911E2A0C001FA\ +FFC00000CD02E792F40C0DE2A0C0F2A0DB860D00000001F4FFC00000204220E71240F7921C226102\ +01EFFFC0000052A0DC482157120952A0DD571205460500004D0C3801DA234242001BDD3811379DC5\ +C6000000000C0DC2A0C001E3FFC00000C792F608B12D0DC8A1D891E881F87112C1300DF00000", "\ +entry": 1074792180, "num_params": 1, "params_start": 1074790400, "data": "FE0510\ +401A0610403B0610405A0610407A061040820610408C0610408C061040", "data_start": 10736\ +43520} +""" + +if __name__ == '__main__': + try: + main() + except FatalError as e: + print '\nA fatal error occurred: %s' % e + sys.exit(2) diff --git a/boardesp/tools/tcp_flash.py b/boardesp/tools/tcp_flash.py new file mode 100755 index 0000000..eb2850a --- /dev/null +++ b/boardesp/tools/tcp_flash.py @@ -0,0 +1,85 @@ +#!/usr/bin/env python +# +# tcp_flash.py - flashes an ESP8266 microcontroller via 'raw' TCP/IP (not HTTP). +# +# Usage: +# tcp_flash.py +# +# Where: +# the hostname or IP address of the ESP8266 to be flashed. +# the file holding the first flash format file. Used when the currently used flash is user2.bin +# the file holding the second flash format file. Used when the currently used flash is user1.bin +# +# Author: Ian Marshall +# Date: 27/05/2016 +# + +import socket +import sys + +PORT=65056 + +# Verify the parameters. +if len(sys.argv) < 3: + print 'Usage: ' + print ' Usage:' + print ' tcp_flash.py ' + print '' + print ' Where:' + print ' the hostname or IP address of the ESP8266 to be flashed.' + print ' the file holding the first flash format file.' + print ' Used when the currently used flash is user2.bin' + print ' the file holding the second flash format file.' + print ' Used when the currently used flash is user1.bin' + sys.exit(1) + +# Copy the parameters to more descriptive variables. +host = sys.argv[1] +user1bin = sys.argv[2] +user2bin = sys.argv[3] +print 'Flashing to "{}"'.format(host) + +# Open the connection to the ESP8266. +s = socket.socket(socket.AF_INET, socket.SOCK_STREAM) +s.settimeout(5); +s.connect((host, PORT)) + +# Send a request for the correct user bin to be flashed. +s.send('OTA\r\nGetNextFlash\r\n') + +# Wait for the reply. +f = None +response = s.recv(128) +if response == "user1.bin\r\n": + print 'Flashing \"{}\"...'.format(user1bin) + f = open(user1bin, "rb") +elif response == "user2.bin\r\n": + print 'Flashing \"{}\"...'.format(user2bin) + f = open(user2bin, "rb") +else: + print 'Unknown binary version requested by ESP8266: "{}"'.format(response) + sys.exit(2) + +# Read the firmware file. +contents = f.read() +f.close() + +# Send through the firmware length +s.send('FirmwareLength: {}\r\n'.format(len(contents))) + +# Wait until we get the go-ahead. +response = s.recv(128) +if response != "Ready\r\n": + print 'Received response: {}'.format(response) + sys.exit(3) + +# Send the firmware. +print 'Sending {} bytes of firmware'.format(len(contents)) +s.sendall(contents) +response = s.recv(128) +if len(response) > 0: + print 'Received response: {}'.format(response) + +# Close the connection, as we're now done. +s.close() +sys.exit(0) diff --git a/boardesp/user_config.h b/boardesp/user_config.h new file mode 100644 index 0000000..e69de29 diff --git a/lib/__init__.py b/lib/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/lib/panda.py b/lib/panda.py new file mode 100644 index 0000000..516fb24 --- /dev/null +++ b/lib/panda.py @@ -0,0 +1,139 @@ +# python library to interface with panda +import struct + +import usb1 +from usb1 import USBErrorIO, USBErrorOverflow + +class Panda(object): + def __init__(self, serial=None, claim=True): + context = usb1.USBContext() + + self.handle = None + for device in context.getDeviceList(skip_on_error=True): + if device.getVendorID() == 0xbbaa and device.getProductID() == 0xddcc: + if serial is None or device.getSerialNumber() == serial: + print "opening device", device.getSerialNumber() + self.handle = device.open() + if claim: + self.handle.claimInterface(0) + break + + assert self.handle != None + + @staticmethod + def list(): + context = usb1.USBContext() + ret = [] + for device in context.getDeviceList(skip_on_error=True): + if device.getVendorID() == 0xbbaa and device.getProductID() == 0xddcc: + ret.append(device.getSerialNumber()) + return ret + + # ******************* health ******************* + + def health(self): + dat = self.handle.controlRead(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xd2, 0, 0, 0x20) + a = struct.unpack("IIBBBBB", dat) + return {"voltage": a[0], "current": a[1], + "started": a[2], "controls_allowed": a[3], + "gas_interceptor_detected": a[4], + "started_signal_detected": a[5], + "started_alt": a[6]} + + # ******************* can ******************* + + def set_gmlan(self, on): + if on: + self.handle.controlWrite(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xdb, 1, 0, '') + else: + self.handle.controlWrite(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xdb, 0, 0, '') + + def can_send_many(self, arr): + snds = [] + for addr, _, dat, bus in arr: + snd = struct.pack("II", ((addr << 21) | 1), len(dat) | (bus << 4)) + dat + snd = snd.ljust(0x10, '\x00') + snds.append(snd) + + while 1: + try: + self.handle.bulkWrite(3, ''.join(snds)) + break + except (USBErrorIO, USBErrorOverflow): + print "CAN: BAD SEND MANY, RETRYING" + + def can_send(self, addr, dat, bus): + self.can_send_many([[addr, None, dat, bus]]) + + def can_recv(self): + def __parse_can_buffer(dat): + ret = [] + for j in range(0, len(dat), 0x10): + ddat = dat[j:j+0x10] + f1, f2 = struct.unpack("II", ddat[0:8]) + ret.append((f1 >> 21, f2>>16, ddat[8:8+(f2&0xF)], (f2>>4)&0xf)) + return ret + dat = "" + while 1: + try: + dat = self.handle.bulkRead(1, 0x10*256) + break + except (USBErrorIO, USBErrorOverflow): + print "CAN: BAD RECV, RETRYING" + return __parse_can_buffer(dat) + + # ******************* serial ******************* + + def serial_read(self, port_number): + return self.handle.controlRead(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xe0, port_number, 0, 0x100) + + def serial_write(self, port_number): + return self.handle.bulkWrite(2, chr(port_number) + ln) + + # ******************* kline ******************* + + # pulse low for wakeup + def kline_wakeup(self): + ret = self.handle.controlWrite(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xf0, 0, 0, "") + + def kline_drain(self, bus=2): + # drain buffer + bret = "" + while 1: + ret = self.handle.controlRead(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xe0, bus, 0, 0x100) + if len(ret) == 0: + break + bret += str(ret) + return bret + + def kline_ll_recv(self, cnt, bus=2): + echo = "" + while len(echo) != cnt: + echo += str(self.handle.controlRead(usb1.TYPE_VENDOR | usb1.RECIPIENT_DEVICE, 0xe0, bus, 0, cnt-len(echo))) + return echo + + def kline_send(self, x, bus=2, checksum=True): + def get_checksum(dat): + result = 0 + result += sum(map(ord, dat)) + result = -result + return chr(result&0xFF) + + self.kline_drain(bus=bus) + if checksum: + x += get_checksum(x) + for i in range(0, len(x), 0x10): + ts = x[i:i+0x10] + self.handle.bulkWrite(2, chr(bus)+ts) + echo = self.kline_ll_recv(len(ts), bus=bus) + if echo != ts: + print "**** ECHO ERROR %d ****" % i + print echo.encode("hex") + print ts.encode("hex") + assert echo == ts + + def kline_recv(self, bus=2): + msg = self.kline_ll_recv(2, bus=bus) + msg += self.kline_ll_recv(ord(msg[1])-2, bus=bus) + return msg + diff --git a/tests/__init__.py b/tests/__init__.py new file mode 100644 index 0000000..e69de29 diff --git a/tests/debug_console.py b/tests/debug_console.py new file mode 100755 index 0000000..dd81369 --- /dev/null +++ b/tests/debug_console.py @@ -0,0 +1,27 @@ +#!/usr/bin/env python +import os +import sys +import usb1 +import time +import select +from panda.lib.panda import Panda + +setcolor = ["\033[1;32;40m", "\033[1;31;40m"] +unsetcolor = "\033[00m" + +if __name__ == "__main__": + port_number = int(os.getenv("PORT", 0)) + + serials = Panda.list() + pandas = map(lambda x: Panda(x, False), serials) + while 1: + for i, panda in enumerate(pandas): + ret = panda.serial_read(port_number) + if len(ret) > 0: + sys.stdout.write(setcolor[i] + ret + unsetcolor) + sys.stdout.flush() + if select.select([sys.stdin], [], [], 0) == ([sys.stdin], [], []): + ln = sys.stdin.readline() + panda.serial_write(port_number) + time.sleep(0.05) + diff --git a/tests/loopback_test.py b/tests/loopback_test.py new file mode 100755 index 0000000..46246ce --- /dev/null +++ b/tests/loopback_test.py @@ -0,0 +1,95 @@ +#!/usr/bin/env python +import os +import sys +import time +import usb1 +import random +import struct +from panda.lib.panda import Panda +from hexdump import hexdump + +def get_test_string(): + return "test"+os.urandom(10) + +def run_test(): + pandas = Panda.list() + print pandas + h = map(lambda x: Panda(x), pandas) + print h + + # test both directions + for ho in [[0,1], [1,0]]: + + # **** test health packet **** + print "health", ho[0], h[ho[0]].health() + + # **** test K/L line loopback **** + for bus in [2,3]: + # flush the output + h[ho[1]].kline_drain(bus=bus) + + # send the characters + st = get_test_string() + st = "\xaa"+chr(len(st)+3)+st + h[ho[0]].kline_send(st, bus=bus, checksum=False) + + # check for receive + ret = h[ho[1]].kline_drain(bus=bus) + + hexdump(st) + hexdump(ret) + assert st == ret + print "K/L pass", bus, ho + + # **** test can line loopback **** + for bus in [0,1,4,5]: + print "test can", bus + # flush + cans_echo = h[ho[0]].can_recv() + cans_loop = h[ho[1]].can_recv() + + # set GMLAN mode + if bus == 5: + h[ho[0]].set_gmlan(True) + h[ho[1]].set_gmlan(True) + bus = 1 # GMLAN is multiplexed with CAN2 + is_gmlan = True + else: + h[ho[0]].set_gmlan(False) + h[ho[1]].set_gmlan(False) + is_gmlan = False + + # send the characters + # pick addresses high enough to not conflict with honda code + at = random.randint(1024, 2000) + st = get_test_string()[0:8] + h[ho[0]].can_send(at, st, bus) + time.sleep(0.1) + + # check for receive + cans_echo = h[ho[0]].can_recv() + cans_loop = h[ho[1]].can_recv() + + print bus, cans_echo, cans_loop + + assert len(cans_echo) == 1 + assert len(cans_loop) == 1 + + assert cans_echo[0][0] == at + assert cans_loop[0][0] == at + + assert cans_echo[0][2] == st + assert cans_loop[0][2] == st + + assert cans_echo[0][3] == bus+2 + assert cans_loop[0][3] == bus + + print "CAN pass", bus, ho + +if __name__ == "__main__": + i = 0 + while 1: + print "************* testing %d" % i + run_test() + i += 1 +