From 05d4a1004395483a003ae8350e14af89b7036639 Mon Sep 17 00:00:00 2001 From: jebba Date: Mon, 10 Jan 2022 14:43:55 -0700 Subject: [PATCH] Add Digikey parts for Protoexpress BOM --- bom/diy-alpha-spectrometer-bom.ods | Bin 14801 -> 19078 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/bom/diy-alpha-spectrometer-bom.ods b/bom/diy-alpha-spectrometer-bom.ods index 106138251948769e5a6f19e5a43cd64d5ee8f5b2..9da2fdda1d95eac08af2d9bc949fb41b49cc2065 100644 GIT binary patch delta 17236 zcmbSyWl-NSw4AM11U)m9QJ?rC)t4i7m&gE1r`?epOQu$2!8PY zfEkd4z&bHX_Y)nxNA0(u#>X$*`sHCcE!M?y#apB>noJDf2-iFxZ^qHxQkfzSlaoL1 zwh$Esa}>UN?f-_acBdJ?W!sIkrc`Z}>AeyR3cIg=Q9Mh-7Unj}K%%O_=W<%1%&DM1 zK{Rnr>)}~JhaGX6{7t%062vuwuqwRVfz<^k0Qkw0nUG%1_fr?>(9tVhMCj~M&+%(S zL3xcN8rsC-=oP>Aj}i}2A0#S}BPfOL1oF$|`)xXhwyZNCEjxx7d2N|Rf6dy* zBq#t8kX$k%qRwC=FwL87)Sg&;4{J&CiS@v8^Fv0RmybB)MV139@w8}kT^ORWFj$m>cQ7PO@rK6uG5!<-1D={;hsGcQA@FFt9x~xG@9ZU|^My zU||2fo&MX>NeR$|z*^#d3#RXkM%lrtna02osr5rsiS0Y;2Fge(Albb&C}oeqsF8(N3aDk8w{Ze#rEe z)^;M$?M-&mw_ZKa?$-wTb$>c^9A0eie2icwBt-F|I_xSR01+|~_Q!9Nux?C<0D>yM zodH8kT)G1hCYlZ&`bOg=@e^?r*@3qk4#bZX`m3k6&W{76-I(_qi}WKY5+9_)VB9wx zhH7%Oq&b_&?!GBdeXWT)&@FD zgzF?jaP0^p#M;=!QyLHFt6Q~d^~H>7GUuBk6Y#j}_$E;b~>L(aaCa79DP0J=`f@-ld|5kvIzH; z18L!SK93|VR#=Xj^=biw0qm#Qt;sk_J@w02ikRv1NiTGhZ`iRrW0%_6V;RKX)W!HnhW|Q|QU(nKtNjgK0JxX?pebQ?17_=h{ zFqE<|0tZjzNgsEgVO3Jb8f>D}cHnVeZQlkgzbRqIo8Wb%Y(>Tg9mPu23p;0@n0Co~ z%OfP{FF<@rmbK*Sf0bJVn?F#xmmTgP0)p&>z9rU>kT}{MQ^?F<7jw^l@g91IY6$8k zfP#kKM*3=eOeHiv@0kK!q2BIBMDu|p@(k9M2Nd`)R*Ju;5eM7jy#RG^DD_9od$70A z*GkuJ5scF3D@{a-;hJWJSD!aCbAukbYvaMB18_LF1+30Z(Xw&t1 zh;QVOJkwkxPvBqh5Z|j0lGKr%ER(>Elf}y5un%NP2!i_xeY2c7o4Y5CUBR3+W5>pB zfXa}9^S;j*)5=Jq1~wSaOoG*T^1t;^K|3bl5u?3)(G#{55YT;<_p;)Wbds=z)&-MA zCFEDFc8Ta3>83tUyLHAqx{f=nrCN2gDv9IL3mesXH5^l~Z!kyq@D4TGqWta~PT0Em zFqPjKQDgR~Rvy1KIY@FZY^@pziJD@n0oNF1l1ojw$)aIY2Pjkv36kL+%;*8XM_%Ks zFwg6?`OxNC5b(!5Bz@55V9&C49@@jKDcbR(-32nyS=tW@3=0ztrx=vxpC|e&-qob) zBvG-St(d(_2;^XM{TqV}{2qoW-(LmX*sgs84bDNzBq)EN<`1wWBPf3JnVQA_1&F_E zf+iaI-kNSfZs{<`DOugvDC z_6X8%E@msgky9_Y(DdG+zNDWXmr3Icp8V*DR5Ip%$V!b0eADJR!ct$FwpTkT`ehid z%Fy{MIvU*SoaBChte@r!YBOxVb{jxHG|oLOjcKBWtUWo#Jv&ZMi$U5!ZR+G5s1dEz zL2d44+MxlV-9c^XX0=?SC__YUeX^OKx`k?eQmtsiQWUVvF83w;u*NjcEka5Zp;F&4 z&+Rg2$IyKh)tvE5M0(UEv&-B+@sD8%V+Vs{PQn&@042+2bM$=;9D9To6TmY6mfcsP zzqNr2WqYb^Q8%Wo2U9mTP({cgvL3P|o?|Lb*|QnKEU7yMdo_Y|%V7pnTfvViM9UKw z;6ohc@9B-}RkY^$1vWpJ4~}2hmirz*4?2r@C8NbM43fnttnA{RZ+a)d-&^#Wr!3Q~ z047u}qrh%0R27-Wn&EF*AfPGlvfCKP=RN(*%sXW~G9ek%L8LG*i(mwqp>@-@<*AiB z`|U~0!XfAnw_S~$6rGi#b%fC;YOr3@I_F$kEiG~C)YHCSSbd`{Ht)i%K!2V)J)TXm z{{E$#iyd#kXwTv)>14Xd`meGT5#JyL*ozGm?!T*6Ua=0|>-#oFJV1R4k^W-0^@84& zz?N(Lo9Dvi<4p;{#lD=*zaoZwvWX;1pe>K`%RZI2ZexisGGqD+oJ`}Tq17@f{gifp z;`L47y82rFNhq6P$M=QJmca~>ePRVv*-l zcQzCqgs%?YT%nB$&cgN7wTwT&A7*1abrLd$>6Bw0F!f|!B@;Uu>i^Qpmb#^S_7+O=3aF1MKeKL}on8RlOLQ(?-8_ zwZ)A}@dWKzSfTydD79J!z0CkBbJDWGzeNTK1hDOBtxvJ8{5A0YV*V_N^O!l7c1lXy zKg5MffASX1tIaQ$9LkL>zQY=U$?(0|!CyY1I2Db>`gO1ARGba{Wu7rz*F+r4bEf&Dr`*n^x$*yOrvhE5yy>_u-9T!?ydPUcN5!vDS7{Eq9Du}1# z*mbo9$6!y{Rh4NkhsPqXy>h%Iq>0k%DwU=$3pI*30o(|E&=`5#yszV;YQU6{Bj4ny zYo@MzYbe^8+YG>(>;tA%4iV#HZ}uE>*zWB$HKc z=y#@?Kc(!_E5Z}b&w9Uvn%oI_FfFk^_Ewz+fVBhHH}PISWEkjjBY75~)(M-mRuiJL zuTFK%z%L^-r1u##4}zK%SLr}p^Riv<>Zpc)$e%-EzUOT=0e(Xnx}#fvu)>M22-eMC zmbr}vjU`jhXxvMG=)jM>_ibzRiaEqAF@_C8ayTml2%M46zMREcAl%!mYm}(teUUY| zK{1(=y1(tscZ%A=t<)osHE_yf3W4Z0AC}MnaG@IE^vO-7e!>Ennnf?H7Ac{fZ&XdP zQ%1BcRHNu8L)l5AzrsR4WL%TfyiKq`(hcSE;9g z8*urnyOhXB%s%9hk7Op3h5P64Ez}IRO<{F1G4bSA`Q2-wPbPunlutd);VJ@2V>ri@ z^e!)`E5Ugg9@Z*IPi(m}f)qyVS*Ixq8MibKEmkm42nIzE!;*Ff?L%iV{H2WY*SaBI z@E(T@+Apyq{GC{}86cXiD5;ERg~}%&*PR4pMewR{wgxP{zuj+37|*E3Q{Q<3LL|0B znQ(4RBi>NIYh4i!(v>+p8|eo}_fTx(CB)U^VlnpG&S;NA$a$vMS*F;NE&eKK`93$DTQ3)i+>5L)8<9 zQ`J8?l^gac!i6gL!@nR~sKvPA@?DRdITkBEh|` zgvPP8=U{GP@?BWje5v4XREV zA&QcIn3)i2E_f2ZoJ#?QQuGc%c~-Ekcs>|yw$fkWCa=b)WbhH|tBh~X`AJ=qn`+9# zd%8d7QC?DvvBVOQHz;<@z_6Og465LCf8hs1#LKV zb6yi0A}w~uhT~Su@q}NE)jykO*v%s;WRFDF4-NgP$jBZbVU_?yDfhGDv%#X$O#-{o z2JRVoPzVm4{Vl$Hxi$*fY^Gs~E=^%%pxS4HElD?oP?S9&`7jK>9BDi`P8&Mrl{0Wu zolir^aZ)`Bi|V_lfsl~54_*u-3wa_!F70&V^-?-z%tO6Mw`8i{mD}Jbgseg$HB%pj z2_-;tA3qL3B}@Q9W3Lj|8Sk`gH?ZxcOtx$r$D%bvFnXTV*aYDBAmhf5$<)Tk4F}YT zG|)2@#d7UdA(FOMycDncQMmYR9M>XN=O8^SGRwy{NbIt91Bqh}hfg&=f{YK-xt0^Il=aF2)X{o`p{gGJAx{+=JsN%SvEdG+|Ug^lqwkmxO#q;h+ zv39NKEQIprVPQAh%aC9D~UMHJ@+-!nVY=l#rL|CuXjUVU0Q=3IQ@5e4`0JEmjS3X|@UQ>~+d z1mmG|Z#kh&cN*JJVtHl4tVbxB<9_*{wb88%dm z1O`@B@&5$4vKWLw_L)m24_06Al|lc3yNA0)WQ^x?vz-T8>6$>z!UlQk+w;7V(5fvs zi~s(?=L20p{S71xgcGwswiVj!nIzAvlWY8JC&0(m;;8W6S8(};zL+QvwRgH$w{i29 zwC%t&v^6Fu@P0zTE+<0;8FZ==)|OwU!fDzIo%-kNmmCEE!aZb=I;s2$i5jWOaK|_d zDoBw?F|JMOPrrGFu&TX}0Wt)I5AYpM#9`Kc#=LP^)=CN3=;^koHsX!ofBZVQ zg{6~9Ud(Tg$2GLqEoRx)zIXpn?Y)C$Zx zq_h@90&WRlS#N$*&Z%i0U$ZV^Xf_)82SoVcU8oQqq(vwku9r<-?&GjVD8rqYti4V} z_%KM`lFvl?Q)N500LMFXH5dV}NeO{LTOrp_aB|&9>A=-4@XcwfiJn#kXT+r2*CNT4 zGBnP@%VpOoUB4vB#cVV)YecTJIQf(pSKaPxbr~B-`ATG$*xA8%tF6?SEjHy7MI(>4 zNkTHMgB90VVA68%G)unbL}%(O&x7~pz&>3 za}LWVtrxv;^kngJv7OBCvH{17y=jOta;*hYD$_c@@vCooPlkFv?U>KN7XgZ z&F5~h@|`2+=*bvm-!YK|>E{J1LbPABpPZoGt@X%j5XG$T#KhMDu1D!%&ro6U%>#FQ zAJWNnCNoBADk8LX;%RnECi`EL;wW-e=ySwC2Z4_#Hgedo9ZAnoVvivA1H&X?*ST2k_oEE4wQQI>9gpznvZ7PlZnOG#djlnODz88iC2bF|$ z=@SS zhr0Vt5BuiYLW$Fvghhw6$4NDWtMEg=Big&`ev?!Il2nF-PE zL3lnq!RZGReGHE;T9=xxW&M%$zARmI{tk&|C1^ic5^n2ey4&W4yEu-AhE#ZUM|fqL z)}&0{<}$+oY#ZePnQ>-%z7oc>WL_P_k0&Zdw=r?e(ZH2~woLh<7Iybx ze|g_ay6@FtGw8(cJb%IkoQxUM-zfP*W_l1^(9LBY+ zi;=m%5Mr`cP&-X7`4c%H+v+M`V9>Xnfk+ZeIU5=?{M073#OZp(pffGt{7f_W(*ZX) z^@U60aac6UjexMRLT)*yeZt&Cr4Yp>%<(`v4pN@V3y4ZHSJt{uL(T-?Jf!@m6iE+j9BvA#Y+b)zGhT>-UWrHo6x|-h+SJi(dtpmG zDGHO$P(aA0XrQYh+vib!1ajQ_ z(sEYQE_uh`>rDMjcGg6_|0Zz_Z5_NObX5gWWrMD2UjU`*QQ| zTrVpe^Sf#DyWion%0H^U2o5>AhDV`ZqL(H( zshBniMgAu5U&KQOx9mJXxUY?dh{f195W-e|K=$z)qb*B6hF9Vg4d$}S5vB(lT7be| zMQ=wnOiOnYpmh3PMdSbE)kS)!5P+%i3Z$(6 zNspeLy4sYH6c3AHsw?}azm(_tM6%U=pkt@wu40*0$Wf!arxTtdD6q4Fu?}J%eg|lR zpwdJ{bcEnqf|h5#TMsOAt_wiD_BR>@7H%<2Y6>xjBOD>Wn zV;`b!zo@?34B$~+YiXG5RCi+$3?y$ z@C~MRe3YBZZG$24#FZo+)kToTe+jwHL+)^+Lo<%t_u_# zd)n>jUmR0Q6)gI#!8#koaC2{q_2~i|16v~Gz7-!?>b?%QEu_!6PM%{wW`)}pOfjbl zBHsuw|3(VFn*pK9+c^Y=;Ki-E3An+s`foKKJFODg3>;w8w$4GO1DI?0X7twWiwX~a zkGM7xfb>asT4#G4o_5uy?qwa>Ve3+tQemMi-Z!PT0Y7S8@g*yRq@PilxRAmS!;r&2 zQHSvwwD3(bnVLdK8t3ZYsC64AVFs{`GX}yFyREktE@;zK_m5LMWw?=klpb{Pr1XYx z##Bv?+f5@h6dXCQ052&M8XR(MlV#nsn7n|Q>dTmOLU8i2O-iChW%7K{D?XaUb1`3R zysCEccFF43>VM4pH?Aw{%o`12_1Y#)vU7R4sxXz@2uvI_Og3!6Z|4i!(oW2`<{U zx2^yK0T0*p+tc}Xk@fFWv>OOayu)5_#1rj(R96I}?D3Ve`vCO=`30KPJofTPsk4lV zL?PtC9ZEN5Xt7RnLipvASke7I_LU}v{OZSby^)a)uoHRC6-en@Ds;PER}j7e5>DiqCokvGmw7vC9`LNL2kAW$sWFpTQnsnJ7pe}}HGjYI za%)P}?Q_#}(u1Vu=-*~hHMJ^p$EgBV>=lsbY8W?%<-}MU%RA34)YRQJudCcCR;rkC zdj0SL->&MN`saI>dOzD`XBI_Bjw|*2b-cxtnmH=5gx;;J>}=_klsx+xpG&Y@R#}V1 z3pUJYX_@lI3m;ZDk#j}jH~QqtGkliW!pZc+LtunC=INlWe8{x5g; zKmJm0hpa0Ue_a^I#qZ?Gt0^X;iRx_swE~R=95*KOc8jzmzxf}~%e2>Wq>N{)Bhw#d z%(tgS1r{@umn^)TpKkvs%~-N}Bgj17(b=+=uqIVzD=!yCqFV_VOSiUYh+Oj3}r&9mVt^+o|I>(cb{=UD~-?A6X zL-c~YaAMe`1Qf*wx03B@D)VWjv|VnZfu`_jutQv7?p)u<$6lA~zC53g@=BjX1vRVt zhsRr#2*jM&3oI8HlEe2iH|!DVwXwu&Qo_`XS_dR(LS@W4w^dpHEYPV5;^hG0#)#F1y|J%EZ-hG zx8pj9U&eh`{e3vCv)eK8Ir#mUVNX}@Yr(~OPopz$%Hhl@l-`1r$HLFh&zp4?$jQ^uVgjA$Lv^%(c=*A6iY%V57ZPyd|g zOrZZsq?Ox*T}m`VcZxIC+LCG;(9Pb4@1KN!h!E0CAkv_opMT@G9z7at`gM1KELtag zU5<_2>z7fX8V4o<9nSR1hTaUM{jx z7s$%4pEaee&wFm=4422q;uNXxBzH@2fUoCzZXTd2NZm4&5yI|21_q@;d$)8-Y@J0zA+pvXZHS2x% z5Yy#JJTg}zOwMJFsv?ofq}CSR)T(Zolqc<_AYrg&nGP|MosbpC*K4d7f11kB9e-Ij zIlad{S>EHU@9WGeFelLdy^Ih?Ru!W4~T5d{ntEL;ox|9 zZmJO~Dg`86KLhXQznI@+soQDQ6ljadB=Jv4zxUFni$6UV+;_jsP-IWVL*g;IK_H_! zP3EICAP6Q7!Z~myPsZb;Fwf`tlWhUSeL-C$SzjJV)(~xc&NstjC>Ug-M<>**NP@Si z(*~LO;B2UprzV!XyY*wQ>^qdDw|T`^BP^UOij}z;s8+7J_bYt~bY{N0+kU)>SXvh! zQ6goYa5Am9NcF@f`0Hb27P`5Zo>!3T*>{nC>yfB#ve`IhAsb4F$sZ?XE;9iBY+%qC zY>A2{XQWKr#u4{Iy@Od4d^=!q9yZ2yt#PCRL!`@EdH>C|R>tRB!^ehRM=%aMpdgg=QEfURk9M%v=|LD4`z+t^rTmlZuSQ zDcOb0g0x66xB0@Xz|vB9v)_~K&buY_s5f%6oY>$o#(%Iwe#{(M|5dX>RZ;%z7+CY6 z&Lo3mph?&Nf+(Iy2D$#oVj?VV0`mHlp&GbSQNnO#IphCfry{#%-;uS1ak#F91lWKJ zpMW#+Bl8u^)xiYNDF^`}-{!DU;M2|Fg?bMa0^&=>%WC;DjcW!kruebRSoVJ^^Xy0p zj4rN{C@sqtFMvm#r=CGQe^~;6-B{C%ll0RM9*Z?IHJsbcL#XiE3q$*4R<Ir`-N10?0XtnIEN^!bxXt(D}= z5XW)lcAB1fa=5C+f+bj^zZB4=Sb%5B*-~b}gih>z^^Ncqa*ypNB3}VS>T)DyH}Q`X z^~cYY&B<0m4zdB~FziP{uymN65%`f;PbjJ1V!}bAe()9L7W8jmlp1|4pIU{ffItuQ zaX6nOLkdp5$Oh90QN{^i-YdlL**iPFa#l**p-TcCvGQ|NGAjZG+({J7J(=*1v!qT}Pu-T0kH96C;rFhz77=E`?yu4E_nGTxBi}2wT)TW4LwkP7Uxp9qXma{3m0OVx;C!P6 zY{Pa3n=_)zH@h{H)S-1X)P0wb3Ctq&9EQw#e;$k9Rb?hX{PumfC%bfbQ5mihtoWQg zw_IsKd+910y8HGzc(OWN5;#LY2sBY@vk|G;Z2}d8(%la39Y;k4F_Y5`yqC*w;$mm| zVb!s`R!$Lft(ad0`QIQXGW9?Bk3jrK0Mtr99UE4F1-d^xmxK6q;elB=)%@>d?EdV% z(|Ty^gs7?!EPVq5RPa>qE<0<-79D6)AB?2%C`)AaJU-%MRT;^j1f<;UE&C;InTp^Ks5t(8c#ZpDpa#fvb9m85flX?@IW*fk<)zP)x)w|0(FfcGG zDk?ECF*7sMzt_L8u&}bSvazwTv$Hd}KR9YQDt;tBc`P|=Dl2a$uV}8Qa;dUzrLJkM zsdKY)aBy&Pa!FeL7@*128R70B`U1y`R5`V!C{YUWu)C8an(G1p!ud z@pq&S=7iz&6G-67siToMJKdf7T=r=V?J`2@y)iBhB+$OJW1`TEJmc`q1jkQCa}VFI zk-jz+_V*2f1|qhaizj^EfVCFtNB0-9ieACYMda4vPax%8j6dZ8;t&l<$&ddn>QJY!G5G{Lk(eN(ZcJ-DGu)CtCcoZ4TsG#zR;BVX;T zN?hpa0XNILtG$`Tl4W*;mIQVlp1-$6$1g6U8KbqCdY39_TT=;wHnZwk?1kbPtx_<@ z9)XjA6~F?=iriLu-}rSrbW{U&|!`rI-1)W0AqzmYX8m3(0857^1uwzl+%7reO^(%lF}9Jue1+Z z1s-F#j_~5V8*E2Y&Dmk^#3$YkX`m;gRkGX?F_4^Dus6~X9QuPfm)S;n-cb`ICsEjh z5G=jPR>MXHJI4tUyPLh7b7S`;UztqWg@cMpFr*rY)}4Ug!-oVE=Pr4_C2% ziq&%1!Hq3Nl-8tE-6td?{D43TJ}Yx)zlFF?dj^gvmH9~CG3?&yph{+a%=q^U(*Z2}?BgVOFLT-;pe1*twkxV?=A zTaVe3QG$*Qmtl?D*@EX?b&B3IL@>aob@O_^fmuR+iHr_K@XHE7t!=Ph@M-1oyv;h4 zbP3l>1BUIo_jJHH#b{0+(ZwmgD_>;#!4Ri=Qtqk_(Ax60mZ_BAcdN)|+e~j>N zoqI&d!zR5}YWaIZ!UmeuA`QY-vNtd{9B zxm8dX{KK))Y$z(RC7JSRNL?#dCV;g9oF~}vJj0GukxOt1ss_w4gF~Q z5ooIcDRkA!CX=L^{A>J2fk#4@9^XV%lOO?wLdZE({BPziK`+AsDh!7yBJoJb!XHv1au- zLK)6@xX_UP{J$JUEr2Y}QfpXHrHDKYX(tPWHvL`22=ga9RC`rlLUwKT;Qvraoc zL09eXc4y|F8t<$U7tu(;=2D$i*yRJD*7_Ey4Tp-7avQys2Y`=ms~IsCUr66P3Gw&8tqHKkKIt>2;T{`GhW0QLlLa-S96sXoy`5ul;}?`G{$E0O2-<>i6r6dIJ9N|m8K1CDN^%@0V< zxtM+J+YC3@r;dlB-s_=eQ_zIzH6$l${s&QC?h@Mgx#d*bi)#HVMZ+8;}KqX8jOHtwDq zzj!&Zq$7(@OpNXMc)J5${GQ)*6}D_afMCuB2xM!Mj~+7lGW5#ZL>1 zW5}nG184SMdeKxt4-dnZ-aYA)Ghph+`@6_zASdXV%I8a`&fL%T%)uk?yQQkl>&qF3 zZQQBb*Vmg$vAE36+%?d{)S9&;5L+o2wp)5Oc56-amTULVSF}cr^jmxWqpO@tZzOD>tj=Ysoq-~UvMvv zd+Ji_a<1&L)-QbCSU=x>w*9mJ*329bN|koz@B(x8 zau9d&;B!0qX>m7EN%ESiP>Jf(k#0Lp$do!*$&EGt`o8NNW)CBvP=l@U>)E(NvleC{ zYHSK=eedJY@>Tqk;QVoC+xN+g=rhd4wYHUPCXUhk(eo}FgeMErI!NRGIrEaH5w-iV zc5fT`{&{zE>+Q*&6~hS3;tLo6J)Oa0raoscFsns`v*^eU?v|j;VcRG4&$C|qq48rA zfy^0T8szveJVM_i-|(lW1aK6+`^#aoYRkFKP_l8p@$`;ti56$y>GeAfw7P53s8>5z zS>0-*;S2FP^WffiBY4vZgf$-zr=Ky{!?@euxqtv)U$0)=7~o1^swNEKAon90bYt!F zz=i98RXFzH`qRzVjgxSCrEvG+`0UWJD>GK3aPGorzxU+PBMunh+#h!4%hnMKfpW0u4x$0qA3Y)sxA=-@A zs2qDWcl7X!1Gd~+!am~CJTwZ=)<+(uUW~bVw|w+t2x3=$v(542SwLfLz(TJF}-H2B$2YS-NqVu%dErwhaugoY{S{Vna2Zv6C9tPwX9C zxC!J+?sgq{djR}cEQeZ9zo{edFzSq_Pc!~x&F|x0AOy7f>EPSl^=h#v7&J0`uwXBc ztsuxWvOdH7p1Tv)nR#)uzdin`v9R_sX!`iFyWrEo`xAe|x9WM@mU~k`_PBG+hov`Q z>EZl_Y(x;mdb52Ubocg(91?Ee^EjM#B`A+N_uSk|^nkdwCG`elUq~h@$75mO<9v7c z7N^n$JZ_uhDqmzL6ST$5uI-X`#tRO0CH2GJ&-!cm>B4N`sln9@vcfw_ z6uJXjH@zzAR2}6nr-Rlz6*dur+)Ix;>?I0dH#^!N{ZJAh)?1Vthd*L%!8y1l>=bGcID?~nlS^j z9xLQMCNiv)^Ak#X_}0=8> znr=eW`SK;85Xz`P8E`_i&N+ahKueT>s>7(OE2BfBcR7fg?-PnOw=tD($l}I8N&GD} zuxj*fw3Pf8o3;wc7`Yti0N!RQ&bTHLVU5BAgDt*%jla>oL$n;LJli|{Q2?lMF*2}^ z#-*SMD}SqK;F6GW(QcOv*OzYWL+fSq`zF{@P$lG-YY>@E6V6-oQ5tRWcFTHnA;@W! zt~H_s5tyke83y~Q9!Nu-gIZxyBa>r^`<742sKi}7u>BC^QsQHc5g(C6EObOUcVhG| zU$=TI3IE#+8@+L6Z3Si5+Fynbu2czg!pSdhi(NF(HO{5do9 zhdT<8zLUC8dR|e~Bz21sM28--y&%||w!?b6qV~J@4&W~Q`3A)I0}FW4-CT@Tv<+N~ zN?4`e=YNa!sS#RE!Q*F#nXUg2Jd|E9Bk$TN{yKYz4TZzPf}yuECLGTN^(F@16Crp= zq2Q$i2R4Z)V{Dh}FP(5!;EW;=Gw?pnHf>M_SR8Di~eeePE0IH2BLJm`HPIp-+PrX+5{BpN1THTAVgpACBm*XGDfcNqdsq*HmhLi z{Hc_bioy5HV1cpEiH|p+K+)2;bV{ojq-$5(lTHiii& z)UaLh$}DYvWv;4lK&3DBiYe;CPNpBckD;Hne?U(d=6h|F=f1+BJvOM+q;+!U-0X;l zwE#KaZGR5+p2FMT=T@VV{g*-7yOa8NK9b?}XR(0&EVN)__+resdS0p5E{h^(-#IyG z6KUM|#GvA32|f`=@NFutx0dHpVSce6-bEj0#=vLO@e|NNdp$W&G^6YaEkrZsd#K`o zJro|8n#d9;M@mcM_xfz1p7Jg@Udw{V1=P^TJ_6>T=PO_y1eATwtOMUB(ul5bL3_1_ z=WAqqY@ZbSNuO^!kD%yY;+f*L$~REOTbm4;^9Z+_*$##YsabubBIK#0&iS2J=xzKw zshKQQU$r^CJ4qo&3C3HWqDX)-wXrPWhyzX`qxnn1Clk%%HmEq4RJQC~@zN5|@s>kR zgZd^tkchK;1$R+^ZJmghz|>zJ^kN0agT9PEmV3)N zBsh%i7Y^6x{~pT?Uk_VXJ5s=`CoU2$q7llS8h%PzXh!a?M`$Tt4psq|`XlF&3w7kI zmmY0T@{MzPzV!|dvdketa4a9F0I*-`!)t+L6|@HfO-Du2V*zT>IdMd>eG)Tjt4CFk zlb`8_&8+ezS*3n8C=ji=^%KDoQ#Fwb&2*8R-`we%IK6LIk+IRAxUrChj3VEv?;Dm< z)^RXP*ito@8K3aQM)E80QV%51Lp1d&$qZOOT-9J6R%=f)j9E^Qv&kOHczc zW#FilJ?B5`Wqy;t?~{Z2jc)`}I~Y`Z0=a*-1(i-?Z&yD~TwA@U^zN^DW+Ec}TZ@R3mxT&e^I!L~AmExXZ0R>wX7QV*7R7w#g;a%D@#|a4yVG7BMTf~luE|>~AXp?aJo+969B@lBrFsACbB`A`&u8x7 zY5TekV^4O9ocljQ~)*^n;;=j=$N_}pIKHBb~{%hJe7so}3 za4a_hzxD1naQfNj1hmYt@=u~s^y zg$%z^Iz4D_)@y%t*<>WCe8^L)tS5WXX->6STAcf5RtFF;4Co#pAKVUvJwI#6x|~P* zyhk-MV{8PI534PHJ!4X{7+c&;IJDiM>#lwzDx8kgfD|9zk}oK?hShR2}f;KFNi z-pQ__Nxpm2ZWd&#mG;zqYi+|V-2M9q+T8s8W*P|@ok^HPl(&)J#pQ)6rKaZZV+4E)The&H8lh2-ZIOBu;(r%sJPXN5V zTrpx^FtOG+vim0ZAO+&T zv(i2923?)JI#5cJm=EP53EzfC0Z)5r`MvX_;QP79AK8BlK>T{3sYAH3Q(ZxmZ#0{o zOMvONAAaA{8(?<3yx$A28xS7t9Q(f}HLva6-XG2v0;_cFMb7Ip>|n2-=ftJt`}d^- zYr$W;Kb9`3oDVmCThC$m`_uA;@(h|nqMXSLk{{I__JscySJ^%#RsC=Aqx!{v?frNE zU)VAK{)Z2>>;G^2UdzMZSAVpyclG||Lh|R;e$D$jf2Hm6PZv)2e)Il%@%I1!%mz)H z3yojSUI3gsVDNPHb6Mw<(1g4ve)Buy67cB2<76Q#>CT}wpnQUziIwfH;kjvOL znbAymaw9_E09b(ELVyi2M>E;bOos6rM39MxhmlEy0d|7J$V{)z;7x(}MpxOnDljRvP6*JzN{J>08px1|6Zw;MR+CIX~`N zw@13Vt9nm&&#akM)4ReFAg5fBRTZFNupuDeAs_@wYemKIB)cGOcEBhdix4_rlG!E5)n0&q%Ao zx}1-|J6%Lo??L%!o<@4;XIvePAZSPmkX5YfAY%Hymj<m+vK{~^=V-H_X)^XmD@zg0IV)W@JlG_AwdgiVO4o( zRl20G;|ka$uux2|;l@iDleRZ?B_x;s#iAErIphk&(_rY9;bcC`QirBX-Kj18qR2aoTH8AMqK7hN`8}K?e{`?A|ssIPS+Z%w|1qlIB z1P$@0{P!XH*NtY-g!qqy_(yhi^R}~eW%07NJ2gCxU#Z6dUN;IR>6K_x5=YX`mJC(4 z`rG5df(Is`vre&?k?K$R`?xUQL)DL4XSL!4uaiM7gVYJdK=0E3E(I{tV82_t%S zH&$~Tok5Ojx3d;AM`S4&l<`GzMSmj(c)U^8i{4m$+r-sPwC_xah%Q5FQ$_5?gL_A% zzKEnP90n-|z);Lo^{pd*=|t5gbuz6)jKR6eO2RfgP13Eh&2giGzqxQ|MUVh!Mq1X+ ztO_>BTN6cIm}UguhfHLp%bJUT^AaSjIJ}kA@;SnUyG<$W35zN_`cSbrQ3&`!gt&u8 zF{!pZJP_g8F{a&*+Au1gr>Z42IY7r)DuVoM6}`QH30-mMa6D)?N<$_Bx1jp58lEDj zzY1#!A~udE(M+tdNwZONuDrgOq+a4HmNQ)Rhw~1WgbhCLdq^}HZEq_ z4xbY>lC!uhq0UPE0e^y(PBzYfVKoH)8a7wVKpPg=Ma=1Fvd2= z42)8;F3>}FvK>;d3_ASAO-S7+1)MB9_taqE<@$A?RKZLKn^LpBbKqUQzbQ|2F4AZf z!rJgBsZlZ4ft*E(rY=pg4LYiV(7{3*NN9OluJm88JVy{VSM<&KR%l+URqZT-uQf#NK-`5VWL#3B{$|c zC5Is;+5VnZbZSYOg9UnV8C6y`V8*rt;O-o$^|gM3^7uOS9lKJg#lF$thmc7qD!%TT z8fY_OFhCetT5yQziBaNfVOi30(|C8-$EPA-_zE_O{ii^k+ za#2(UDa7(Q@tpQ1YN(NmF4#Xat_@$pt~CTN~3-=!BqKk1YeuVs7;;GTv#Yy8`c%S6xbIs zejQU5%m~6%Nl$HM!F2^ECZ{2ql@l*NbXSweWJhIok~ci@6{+_-g@MM|_+JV$rd=@_ zSuIT*HnmQ7j1pqIVa#)FSNiNM5M`~=vhYYD3h~ zve6uI^pps7Tx)8tzI1M^-O^ZtdwJQ)SjeOZg>c9f zS^S10ifG7bZc;1NC`B1=vwG8NuubWE-PUB@H|duF9;tn?NpS$G`-k}%MI=+`RNc!? zBj2Uu$?x~KM)wa-ddHvEm(@#h#kdusua60|9h-Ct?wfT!@QT(hetRY{E7;9QV`<*! zDf}_IS9Thr_++b17nocR6LJvS|5I?GwXT2`EM{&!k15c7JiM@0>Aw(B7I&d~Uu@{v zBw+MJuH$%nrtJva#%ep>YL_%LUmTs*d7gSJgObZLR<2k3NXe$*n)r)78~z-%{KaHO zBxS*aE~;4h3d@?5`JfiPXI1`AaYdOE=in80TY~BpmsQHgnn*TmUkf1emGw>3I#<}OUe~hdaTnRo z?c9`Mt`)s}KyJ=vGX(u^DXq?Zfl3dp6J$* zQ()=?f12AesjL^K?e^h@u7Z&+O1NpkG{$l)aZW9A3hVueYCKy%oI4Q7xI$g|bRJK% ztMp#j#eGKUBA%0`MC;dlTWxMiix3`B$N6m9qYEIce^e~2JIZDFV;pz@mowR7R54L* zHLm=!@vh6lAhoLVO8)UX%sI8CiR|aD0ksOOk$WGA$>~@6x3j%aWAaXv=YCBAiH&4i zxaW5wxKL53GBxrO!t)cG=50;iaGo3lFC#u}IEY+;WTohj^zyksEwwkLTb@E8O{O#Z zTVw;axZP?__*U-rGZPE-VYn)(TcyTyu9+O_2PM|AADWV6N_g+xI}`jGHYGF>{bl+@ zQx^_~s$O3>MG64I<<`c7}<3=q9Y8QuG z8MfvS*j|;iWD%K8h1Wb*_8*?g*t@bQzZ+2u(`dQ8OIhW>v^yd8mQ0%GB1H=$=^$n zn3nBlX!Id5Zk#!&u{z&69}>m`1vQ5A9TF|^2~fKTy)BGx4`eA~;mTc%WUFTci*ZcB z0d=}fDOfDTu!B`&Q+P9+N=N6|BgdNJeeUSkXnqQlrRTMl*ncSP?c;SJTSY9hV+5+;w)uOS^I(r3K0 zEBmn^;wo8^7Ai?e=Fhu^d>K#*O=MAWu26pwPB(*IkxVKMn`QY*7bXEW!c;Og>4zIC z^XlLJY=yYbHaN4(b>)c^LY4D#Vxr&lkDtcbWYfoMAiPoJ?Nx2($*8`BjeK(+OuOmf zjioyZulQzA)9H%KvfcSXzrhaU;m`M}{EH)wR~yg8QxUXdHQ8m@Z{*-7<_?W-bbY%8 z?MYTw((Y_7BnCe|^SK}ZrDIy(3^=NpR=%k)_-R4Lm~?0bT|&;4~sbl|m4SXOw+hcu~+e>o^-&egWrHwU)%@H81Iuy7p4@+Wo= zM5}#3Pqr-C;zG&-{bulhT^?16X4{q4S9Wg}Q09a%0t>?FaTO#3U(FxQj;Ijj78gmM zUDzqSbsI}cBggo{^C+$u$Cy&7&qEc+M^is0v(kn=`cs`+Rgd1qxCkbQ7-fyl<$ml# zNfv+0Wf_(O88wFa1}=R*vn01IR6d5-c%tyDNi3UzRQWN0VjdCEpv5f^Eb%EeS#gh# zLf{RDaUjH(Cgd;)I1qIMjX-7);CF`O1T5qW;;S%9=qdNVhtE$jh0;_!q1ZP0de&ca zn6$sMPh?;Hi_50k;37Sznm0W({~J3mq6=02dglAqw_2b@zX6Pw=Kvk~X+lyULdkKf zoq)vH9sPZcxG>uZs8FMwY4qYNw_8Ax-!VsK-=6t}1>A(S%-aJi7P(C> zFffeyJ{@V2nIvQs%c+lx^CGF<4 z%rj*lKb#aDhT$3mm2>QP=ciLx1?o+1vVaw8O!Gnv`RSFIx+;|? zjwukRv?mssFBi#BWI>xl&+%MBUxlLyBBhE&p)OMezT-Tn5gNjdXZz!QS)}H5X@?NN z4d^h~Lz1+Skm0p|f^K^itP>VlL^l`J$s~oKk$&np2Yig%FcT7@BV)2Tatyu9E=J9@l^ERjxE>`n-nm# zcg^9&d=2Obx>7b=(<14@A8_^q8;p&fX`U)r-SPP9-!sz$S2jUP%26ucEo8#H3%0kz z=rEnRrod7ynTsbCs!f&leyd38T*zRzBt-IyOIg{ipcM5u!aTnh|6*5 z(bM0ZhI-@Fr}hJ60p7I*yrSZ8+w`^0+ELO`mme47=305^W8rsGYR&h%)f8VON2^dy z%x#!BM;STEgnT3Eb$(PzHZBs~396MbO2S$M$&TJF4oK0UN^xp2t84$N{%9B^R@eNU zd7dU@k?38$~-l@ zr`CC6;lc=BvFH}mp<6swmBQAf9G@Es>yT|ud|1NNqM&z!G^M6NDG?)(Y$!2oaV6{> zJazq^^%K342m-@<&3LweM5ZLF)t>g8{$G-4iyZzV%a*Sm_1B*5UokSZOM9{|$q~V1 zXdT#@JjDke11j0leBwAT@~87+|JdFMcnav}dU|yweWXol8^!0FnTh4?0{N9hSgmLcLf#z2=<8?tJ;_qdiFqtQh)*7znb*G9J4%$c zk^#!`Vt8^!_ozC7tHZd7_knYqcIs3Rz{Zt++t(kPshMnX;ZpP4B+Ri*jB#JZx9XF_Wq+R=w!DL)1%Dq&G3v934dDR)k4G+9EjFwD zmmhbimT+UP^DVxQA<_AW5>G{|xC@tG9&~BFirifbS%nlLf1|^@UibTEi2dyMI7H1* zraavX#N_h>Sw^@73z?QpF@EeexYh0_pxll(9cUu3L&HPk(lIz%z&($_Yd)KRk#X#(W)PjPwBK~7%tNb2x}z{ ztX~Yn2EEDDc;if)&TPMM)t6=}72yTU@J$&ynp)}si7{H*tQW*GTTCdFyLoR>1ox{8 ze2dp4rOEtU7=~#qO-NCuSiZ`!7P&&+GI6-y6XYHtrXui;ggtiU_1zkfg%R$hRw9aV zxCUW)uf=6b+bo_LR?A z>cGkcNb&|ETdY{OLVa}^xjruY(>}zA=rpw7Pex|P_DVTv+cm0X>&vM2 zve;^VeoSWS^tQ2*&k~$VMJPQ;Y*Wf!6ApMEl9Wg|0n3m&F;apuD20h->!dd4@z&k+ zDf+|_i(z{pYA#Sb(F11@@y}KqVW)-j23-#@RL>!5MYO4jY!Onm?|<5G2Lq=+S%|`i zo-cx3lTwF-8NrJr@_vdXzffNwi> z(k3YWB#CW%l-v&`M=_g^R|}k1=INs7JYQSb7ueU{FPsJmz@BMp#dbbUW55)XtHJ_y z?kRR!30yFCuy*dli`cvxqAE~cS~kI=Dn#ZQol2)JdE~frppTkbw3m-pT0cH&rupZ4 zU`^V@qt>%eI@rM3BD?+S4SvGbd~EA{bWwdNRr1n@OM$KQ6}UYc3xRR@Nx4|sWmT4v z#_igWeq}c_jFl9*Yuvm)Vt|h4NcjW!VQR3tZ3a3CyU?*3rD*Wchc8_YF@(2k;JTKF z;(TrpH5D~wE~r#+>q2c6^Mei*yW^|G{K%hx6< z_ba;R%hv({^r;|XBY1u0&=g*m zJG8vB3(7|?n@$$xoez|cEwWJ8t0RfrX(AOe27^f<&&j=>!pE5HlEnOk9)XVh%LIMl ze0O+K952oItS9C%j&OV98o48bt4Rt5_sK%djy!^cU!vT}Y1Eue4$qAU#D(t2?%pW} z?yo#{X>HW-9Ch(Qgus9(vl0^=8vWd-DCaJ0vvpCKv7c1D0)+K;W8_SWHDZD`5$WV# zhzAh{izs$xVeStlA!&je%dNN7UK>O-)=pkuT1^zw7k&pxVN-=GvG3iVV_gv-B(GFu zvQUOKg^ncS{AAJ8ij>#Z*lsTI5ElTmq7X1^fXR_MEh-x^&#v zego38rq?KeaVbf^(~QGRV(6I=o!?jU6~Sxd}gYVb4USS0mBb81b!M4#wuc& zOBGp@>Z*$+IY4EF*`_;6=t4|`Q>A0*2Sh~XlCbWq$nF(FL`NL#yD=gCkDn9A9P-(t zgW2<4b@K~YP(9B0+uEl`QSMfb#!Q}Xtk$>Gdo;v0#p!4`wOP-(+x-+7_}2%`SD|9M0=@IuTR;gOtLCu`*v05+yni;#eY}N7H5<6Bvh5xdbx`s zOs&~ErZvyeG>E;i4D*OY!u)7U+$hWz7F_jK{1QpO&`!O^9?&z9al-)N z0nyUac?MprkAu({n-oUyBo3`u#>@KM7`P&w-bOO@Jn!+rbc^ZdtVU@36MO}~TEY+g z+vgI=IT@hTbL*~53$IMwtx?6K#4~?mWx0HbyR0E+SY0Zij#KPq(D|o-g8+$KgS(8z zD@hK>_qSFQjB#wl;?#Lp>E^hV&`&mxxG{5vQTf(zA>^drGhYOjZ-u7X(aT@(Ib!_) zPq4?pwBuqQb~w-c^jEm8kZK|P`e*Y8G-Cb`TL4fn9~pe}1(z~-@T=;UH`1cr3YkCA$Z7=Xnz%YMwg+0+XJ7Gwm6) z;a^tdzT&IaTYoo%j)suNySRYHH+&b(BYYiLx*W*iG^-+_voMZ0+^|-V!2bBwQ_+)Z3S&*d7?3)o4E|9Xdq-DrrrXcp#*Ii9!sstb7S1 zIewg=P=!>5>^$%@fjMLCJjkxk4Zl!8y+wunH7n2iC9Nf#kGOE$G=}xg7Fi2L?UuEq z)PbbzIgPPIdfhVSyw~cZUrD%6q^<1}GPp9@-1HSU&!NDJR-=iW zPe4w8wdwfx1!b!L6TYwT8@u0=RzS_|%@?!pO4A=RaA=rk;cOVqOBVV_9QJBx>L(TEP+@Y3MA5VcI&dTq% zUakUd$Is!Xm%jUHH5LG&rWj~(?~|(lhKH$5!Vj9zhbdd_srG%}v!Yy$cm9wTL0NVF zh4VmGfNWE>58eKlv6vC89}=hKyGNqixor66wbMmw-yUP=AFPO44W~7CEyWKpfgvcv z{vW?&Cc!#i>dW7M>i_DF6GPE{>1r!a!v$MvB>r{2giA@()kpbj{ovdCCqD()GZab+ zwP;oKyrQ=@=}1AD*9PgG&)7F~E>g)v9L4RxPc-iyR@DA9NND4M)^d@o2pV>p!J$$tJzw*LHVeB z{4S$}z0?p7V3WMqJjk7wwVs(RxT|Mco2G^Vfp!h!4dFn(D5_A?Z#c7V>2#l;Iw!kJzY1r#6fqB}ml(bB&Z z?H+|Et2#$_zZ4@23Q?*c*t|~>zL(XJKO##1HbhD!V$(nYv=a`;x%kRnlzHY!B3$C&-5D-dq|Nf!$FG>xACUdiK4C0>`(cc6A4^u+( zlRMamksjFjBfr7_Ayz=lCr9r8$@WzhHKqRK$jHc8SXe|vL{wB%jEsz2TwDSI0umB` zR*n@lG&J<}_07%AZES2@U0r>Ae2_ws(8JNOBe02Lh{(T@Q^ip+CNQ!kv2mtw3j7cd z%@mc)l2pi3&?wT-FVi=vFtMnzu&c9kYH$MFn%w-_{DOmnqoSe`6BAQXQUW^yv$L~H zN=j;LYg=1egS&zwdm}*uAn*`4Z7l8Q#Lt|moRZm+%7x0BrJ9ztmhSHEk&%(kjn0{w znbpMt(_QKI-?{Ae zP@5JjAxp46xV{)_8IdEuz=S?hgIeg97g%cyFe0#dvp`TMXvZOID1j_HUG1H2I+_y|x3eEe$A&>NB3 zuqU7;Qb;!^BYxIP_M~oHys+9ZD~cy|c>YV=qp~_TGW8rH4&l#fx>*Jo0G zPN%S?_&kKD#VwH&WZ@e|_dTx|V`!2|KPwF5ipKG?5xHhiR)i)P*+Z;%O@jh@JW1*3 z`YFh#viPHvwfxjbvJ1;IrKSdpOI;{(nWgd$^U*>+T*OMW^+v<>Y`c2Xw`vE z8GEuAt;HP%Ps-iO$5^SJ!rnY=Z7?o_VT>4=1_y;~$S$znaG&Cy>c|u7skjHJ1jf3E~h4rf!7*^+GE&b!!{ zA?9Gg`jd7;IL>>=ibMO(c+juApuiP|DQ@F5u7=4M*|D zB+Ic7;~B^MW_qmux_2ynp<(XJpJjC6D{4F4y?aN~DCxwat9<`ELz|$nO{lT=Nhls8 zRfpT>#{i%kbnXnS)QVPLE)QSAf};t^(bNPtX3xNwty2Z+ z!5S)Yg|$5}uxU*AdD$XVvS4mmo_jPqElkW|?EqZ0ifN};72XlY+1oOLqDNuBzJ+n& z3Dw1deZL;fB^9E`(eE$@!4;L3iPql3a(LpDZHXW3jS#?X&NwMacryC3H2db)QZx9Z zo7R~8Hg=Ye$7HV7LWC5#!nT~y0xivgXozi!%F9EJX@rcmUJ)Tu`!uQH3Sz zy`j?N zl=OED0h%}^_5be<{HN)w7zn3HpH%ZrGs-H@cD2Jy^WK5o{a#FMbsu-_)4Xw&L4Swi z;Y*JrwBR!wS9jP3pPTCw0(nhlu))Oqg6DaD($uZf1ODJIb0$6#2O02=Q>@JvwiIT; z!1yTNefZ_!R%^6@KAn#@QH9Fd2-hj~ni6ol`d)8;YC2-(a%8a1Keu4s%m0Mfx8}}A zd}aTv$~}d;;MMDy!^QpSxNDSPyxE4;LBKrlqzuxq+AETMDmE+UhAohh`X|qrsG(cm z!5I(NpGh}PYjzcHD15#GBpd0UoAVCY+ep#4*6Qc!I)!PityS$z@$&m%rG;@SwYI0K z+uFEU;!*8ZY?@cslaBLih!ssL34!vNoSB_?hYIt>_H5sE2P7Z4NOL+Nx^RUk4`q>h ziw68}1v5jo9E5k){tr81g3W$tDIW8u8o-*0{+*=-V89tzNi8Smomz6MY;zp4&ot7r`Eb~|4xGo5zZ|iK zUpgUz+Opg1ems>pFE1`UN4*>`4*2+OXm5s9mRP@TQ*XKlu9tr zvu!vCPhL?r6pbnhwXsMaOvTfGj!3Y zUbgi22E1x0AU@mQHXP3g~r1du+tsqzk77HhSV zEF*lpdN5b$FW4@1N_SPqKIW$oBH?+vDL?F#J20*17dWSwF{@c~;Hj}cNsrpuD*Z7M z-8(|9l;7v=s3%m||>U3Et8k9%`0h)*baEB|$#Xc!lNxkKU z_rdau&q_Sm><9l(J~gk^rrUMsZh{A1s^#=L-x-H*YesIn*2wyET14y~n?3-GG3T#r zhW#x7-l)UnfiS`G(ETNhTFtA6^eaS@G%wv$i&?s)>~}^zObWGsA>jNGKFNhhHt|&D zo^3D#^_wE}k#DPJdSg$Y%Lf`C*D!q^gU$9Pm;)wDJ8*V0e@Wtofpn^EWWDI#Y_qM_IxM_>GT2avJ zGy8Ls;VU7Ev%t&Ljb!5U36`$U43;i!Kpi?->g7*@-AEUyrfh?MkL&-xcq_)g+s1QZZR!29S#ga1yycz{RK z(fXPh!RyPrj4h#tWbK;Q;!{qClq65mETDmqbT9ZRA5G*FPasahfft*n)Subv<$FBW z$DEjNn@o4RzFNohxj&{L@cJ_}bvkIJ#3!JEnxgFboXK6!6Hp`N{#3s@-AoHzSRU?* z+4kqIagvT2PQ~-miL`ifpBt}VpTH%(W%&DZ)b5W-Prh7iI$AWLv8YJ%|MoRn@{d0T z|G8?22}htSCK$4odVHwBM`x*3pR3PLmHN6#YAW?M$^H8NEy5`pEb@Ww4f-6*n#APK zdOp`5X8=M@N?Ed8+$88f3Fb99l5YP*5)erLjXVH9%MgPd7K{Oj1r~+E0FTSQ`*$b3`R&NE1W4gC}M1FwIO|SXCAN>#~28P$iJy8fn_Uz55HX2?-XFq5oU@t0RDlTP6M< zynj*V!OOC|C<3Jnn*Wzm4E>qRVEqqo|KI5UFTeGF8)wskvE=_5xPUw{)D|aL NSDx;jHuqnH{{#J)Z(INX