From 033ab1ef45285e46a6f3bdb0a83964261f284fb2 Mon Sep 17 00:00:00 2001 From: Agis Zisimatos Date: Sun, 20 Sep 2015 08:43:08 -0400 Subject: [PATCH] Add new encoder pcb, update end stop pcb, update README and gitignore files. New pcb's are designed in kicad. --- .gitignore | 34 +- PCB/SatNOGS_Encoder/BOM.csv | 12 + PCB/SatNOGS_Encoder/BOM.xml | 305 +++++ .../Gerber/SatNOGS_Encoder-B_Cu.gbr | 354 ++++++ .../Gerber/SatNOGS_Encoder-B_SilkS.gbr | 755 +++++++++++++ .../Gerber/SatNOGS_Encoder-Edge_Cuts.gbr | 25 + .../Gerber/SatNOGS_Encoder-F_Cu.gbr | 1002 +++++++++++++++++ .../Gerber/SatNOGS_Encoder-F_SilkS.gbr | 436 +++++++ .../Gerber/SatNOGS_Encoder.drl | 37 + PCB/SatNOGS_Encoder/SatNOGS_Encoder-cache.lib | 185 +++ PCB/SatNOGS_Encoder/SatNOGS_Encoder.kicad_pcb | 878 +++++++++++++++ PCB/SatNOGS_Encoder/SatNOGS_Encoder.net | 244 ++++ PCB/SatNOGS_Encoder/SatNOGS_Encoder.pro | 61 + PCB/SatNOGS_Encoder/SatNOGS_Encoder.sch | 474 ++++++++ PCB/SatNOGS_EndStop/BOM.csv | 7 + PCB/SatNOGS_EndStop/BOM.xml | 169 +++ .../Gerber/SatNOGS_EndStop-B_Cu.gbr | 579 ++++++++++ .../Gerber/SatNOGS_EndStop-B_SilkS.gbr | 694 ++++++++++++ .../Gerber/SatNOGS_EndStop-Edge_Cuts.gbr | 21 + .../Gerber/SatNOGS_EndStop-F_Cu.gbr | 34 + .../Gerber/SatNOGS_EndStop-F_SilkS.gbr | 156 +++ .../Gerber/SatNOGS_EndStop.drl | 26 + PCB/SatNOGS_EndStop/SatNOGS_EndStop-cache.lib | 138 +++ PCB/SatNOGS_EndStop/SatNOGS_EndStop.kicad_pcb | 523 +++++++++ PCB/SatNOGS_EndStop/SatNOGS_EndStop.net | 131 +++ PCB/SatNOGS_EndStop/SatNOGS_EndStop.pro | 62 + PCB/SatNOGS_EndStop/SatNOGS_EndStop.sch | 276 +++++ PCB/library/TCST2103.kicad_mod | 23 + PCB/library/satnogs.bck | 8 + PCB/library/satnogs.dcm | 8 + PCB/library/satnogs.lib | 57 + Pics/Block Diagram.dia | Bin 2240 -> 0 bytes Pics/Block Diagram.png | Bin 27348 -> 0 bytes Pics/SatNOGS_Board.png | Bin 76145 -> 0 bytes Pics/SatNOGS_End_Stop.png | Bin 9111 -> 0 bytes Pics/SatNOGS_PSU.png | Bin 22903 -> 0 bytes README.md | 10 +- 37 files changed, 7686 insertions(+), 38 deletions(-) create mode 100644 PCB/SatNOGS_Encoder/BOM.csv create mode 100644 PCB/SatNOGS_Encoder/BOM.xml create mode 100644 PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-B_Cu.gbr create mode 100644 PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-B_SilkS.gbr create mode 100644 PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-Edge_Cuts.gbr create mode 100644 PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-F_Cu.gbr create mode 100644 PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-F_SilkS.gbr create mode 100644 PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder.drl create mode 100644 PCB/SatNOGS_Encoder/SatNOGS_Encoder-cache.lib create mode 100644 PCB/SatNOGS_Encoder/SatNOGS_Encoder.kicad_pcb create mode 100644 PCB/SatNOGS_Encoder/SatNOGS_Encoder.net create mode 100644 PCB/SatNOGS_Encoder/SatNOGS_Encoder.pro create mode 100644 PCB/SatNOGS_Encoder/SatNOGS_Encoder.sch create mode 100644 PCB/SatNOGS_EndStop/BOM.csv create mode 100644 PCB/SatNOGS_EndStop/BOM.xml create mode 100644 PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-B_Cu.gbr create mode 100644 PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-B_SilkS.gbr create mode 100644 PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-Edge_Cuts.gbr create mode 100644 PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-F_Cu.gbr create mode 100644 PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-F_SilkS.gbr create mode 100644 PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop.drl create mode 100644 PCB/SatNOGS_EndStop/SatNOGS_EndStop-cache.lib create mode 100644 PCB/SatNOGS_EndStop/SatNOGS_EndStop.kicad_pcb create mode 100644 PCB/SatNOGS_EndStop/SatNOGS_EndStop.net create mode 100644 PCB/SatNOGS_EndStop/SatNOGS_EndStop.pro create mode 100644 PCB/SatNOGS_EndStop/SatNOGS_EndStop.sch create mode 100644 PCB/library/TCST2103.kicad_mod create mode 100644 PCB/library/satnogs.bck create mode 100644 PCB/library/satnogs.dcm create mode 100644 PCB/library/satnogs.lib delete mode 100644 Pics/Block Diagram.dia delete mode 100644 Pics/Block Diagram.png delete mode 100644 Pics/SatNOGS_Board.png delete mode 100644 Pics/SatNOGS_End_Stop.png delete mode 100644 Pics/SatNOGS_PSU.png diff --git a/.gitignore b/.gitignore index 47ac79a..734eaf1 100644 --- a/.gitignore +++ b/.gitignore @@ -1,5 +1,3 @@ -# Ignore list for Eagle, a PCB layout tool - # Backup files *.s#? *.b#? @@ -7,35 +5,5 @@ *.bak *.kicad_pcb-bak -# Eagle project file -# It contains a serial number and references to the file structure -# on your computer. -# comment the following line if you want to have your project file included. -eagle.epf - -# CAM files -#*.$$$ -#*.cmp -#*.ly2 -#*.l15 -#*.sol -#*.plc -#*.stc -#*.sts -#*.crc -#*.crs - -#*.dri -#*.drl -#*.gpi -#*.pls - -#*.drd -#*.drd.* - -#*.info - -#*.eps - # datasheet file - +/PCB/library/datasheet \ No newline at end of file diff --git a/PCB/SatNOGS_Encoder/BOM.csv b/PCB/SatNOGS_Encoder/BOM.csv new file mode 100644 index 0000000..b644adb --- /dev/null +++ b/PCB/SatNOGS_Encoder/BOM.csv @@ -0,0 +1,12 @@ +Reference, Value, Footprint, Datasheet +U1,AS5040,Housings_SSOP:SSOP-16_5.3x6.2mm_Pitch0.65mm, +R2,560,Resistors_SMD:R_0805_HandSoldering, +R1,560,Resistors_SMD:R_0805_HandSoldering, +R3,100,Resistors_SMD:R_0805_HandSoldering, +C1,1n,Capacitors_SMD:C_0805_HandSoldering, +C4,100n,Capacitors_SMD:C_0805_HandSoldering, +C2,1u,Capacitors_SMD:C_0805_HandSoldering, +C3,1u,Capacitors_SMD:C_0805_HandSoldering, +P1,CONN_02X07,Pin_Headers:Pin_Header_Straight_2x07, +D1,LED,LEDs:LED-3MM, +D2,LED,LEDs:LED-3MM, diff --git a/PCB/SatNOGS_Encoder/BOM.xml b/PCB/SatNOGS_Encoder/BOM.xml new file mode 100644 index 0000000..a63fcda --- /dev/null +++ b/PCB/SatNOGS_Encoder/BOM.xml @@ -0,0 +1,305 @@ + + + + /home/azisi/Documents/projects/SatNOGS/satnogs-rotator-controller/PCB/SatNOGS_Encoder/SatNOGS_Encoder.sch + Κυρ 20 Σεπ 2015 08:15:01 πμ EDT + Eeschema 0.201509161501+6201~30~ubuntu14.04.1-product + + + + <company/> + <rev/> + <date/> + <source>SatNOGS_Encoder.sch</source> + <comment number="1" value=""/> + <comment number="2" value=""/> + <comment number="3" value=""/> + <comment number="4" value=""/> + </title_block> + </sheet> + </design> + <components> + <comp ref="U1"> + <value>AS5040</value> + <footprint>Housings_SSOP:SSOP-16_5.3x6.2mm_Pitch0.65mm</footprint> + <libsource lib="satnogs" part="AS5040"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FACFC8</tstamp> + </comp> + <comp ref="R2"> + <value>560</value> + <footprint>Resistors_SMD:R_0805_HandSoldering</footprint> + <libsource lib="device" part="R"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FADD67</tstamp> + </comp> + <comp ref="R1"> + <value>560</value> + <footprint>Resistors_SMD:R_0805_HandSoldering</footprint> + <libsource lib="device" part="R"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FAE1DA</tstamp> + </comp> + <comp ref="R3"> + <value>100</value> + <footprint>Resistors_SMD:R_0805_HandSoldering</footprint> + <libsource lib="device" part="R"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FAED06</tstamp> + </comp> + <comp ref="C1"> + <value>1n</value> + <footprint>Capacitors_SMD:C_0805_HandSoldering</footprint> + <libsource lib="device" part="C"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FAED95</tstamp> + </comp> + <comp ref="C4"> + <value>100n</value> + <footprint>Capacitors_SMD:C_0805_HandSoldering</footprint> + <libsource lib="device" part="C"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FB7E85</tstamp> + </comp> + <comp ref="C2"> + <value>1u</value> + <footprint>Capacitors_SMD:C_0805_HandSoldering</footprint> + <libsource lib="device" part="C"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FB84C5</tstamp> + </comp> + <comp ref="C3"> + <value>1u</value> + <footprint>Capacitors_SMD:C_0805_HandSoldering</footprint> + <libsource lib="device" part="C"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FB8594</tstamp> + </comp> + <comp ref="P1"> + <value>CONN_02X07</value> + <footprint>Pin_Headers:Pin_Header_Straight_2x07</footprint> + <libsource lib="conn" part="CONN_02X07"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FBB05D</tstamp> + </comp> + <comp ref="D1"> + <value>LED</value> + <footprint>LEDs:LED-3MM</footprint> + <libsource lib="device" part="LED"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FCA0B4</tstamp> + </comp> + <comp ref="D2"> + <value>LED</value> + <footprint>LEDs:LED-3MM</footprint> + <libsource lib="device" part="LED"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55FCA19F</tstamp> + </comp> + </components> + <libparts> + <libpart lib="conn" part="CONN_02X07"> + <footprints> + <fp>Pin_Header_Straight_2X07</fp> + <fp>Pin_Header_Angled_2X07</fp> + <fp>Socket_Strip_Straight_2X07</fp> + <fp>Socket_Strip_Angled_2X07</fp> + </footprints> + <fields> + <field name="Reference">P</field> + <field name="Value">CONN_02X07</field> + </fields> + <pins> + <pin num="1" name="P1" type="passive"/> + <pin num="2" name="P2" type="passive"/> + <pin num="3" name="P3" type="passive"/> + <pin num="4" name="P4" type="passive"/> + <pin num="5" name="P5" type="passive"/> + <pin num="6" name="P6" type="passive"/> + <pin num="7" name="P7" type="passive"/> + <pin num="8" name="P8" type="passive"/> + <pin num="9" name="P9" type="passive"/> + <pin num="10" name="P10" type="passive"/> + <pin num="11" name="P11" type="passive"/> + <pin num="12" name="P12" type="passive"/> + <pin num="13" name="P13" type="passive"/> + <pin num="14" name="P14" type="passive"/> + </pins> + </libpart> + <libpart lib="satnogs" part="AS5040"> + <fields> + <field name="Reference">U</field> + <field name="Value">AS5040</field> + </fields> + <pins> + <pin num="1" name="MagINCn" type="output"/> + <pin num="2" name="MagDECn" type="output"/> + <pin num="3" name="A_LSB_U" type="input"/> + <pin num="4" name="B_DIR_V" type="input"/> + <pin num="5" name="NC" type="NotConnected"/> + <pin num="6" name="Index_W" type="input"/> + <pin num="7" name="VSS" type="power_in"/> + <pin num="8" name="Prog" type="input"/> + <pin num="9" name="DO" type="output"/> + <pin num="10" name="CLK" type="input"/> + <pin num="11" name="CSn" type="input"/> + <pin num="12" name="PWM_LSB" type="output"/> + <pin num="13" name="NC" type="NotConnected"/> + <pin num="14" name="NC" type="NotConnected"/> + <pin num="15" name="VDD3V3" type="power_out"/> + <pin num="16" name="VDD5V" type="power_in"/> + </pins> + </libpart> + <libpart lib="device" part="C"> + <description>Unpolarized capacitor</description> + <footprints> + <fp>C?</fp> + <fp>C_????_*</fp> + <fp>C_????</fp> + <fp>SMD*_c</fp> + <fp>Capacitor*</fp> + </footprints> + <fields> + <field name="Reference">C</field> + <field name="Value">C</field> + </fields> + <pins> + <pin num="1" name="~" type="passive"/> + <pin num="2" name="~" type="passive"/> + </pins> + </libpart> + <libpart lib="device" part="R"> + <description>Resistor</description> + <footprints> + <fp>R_*</fp> + <fp>Resistor_*</fp> + </footprints> + <fields> + <field name="Reference">R</field> + <field name="Value">R</field> + </fields> + <pins> + <pin num="1" name="~" type="passive"/> + <pin num="2" name="~" type="passive"/> + </pins> + </libpart> + <libpart lib="device" part="LED"> + <footprints> + <fp>LED-3MM</fp> + <fp>LED-5MM</fp> + <fp>LED-10MM</fp> + <fp>LED-0603</fp> + <fp>LED-0805</fp> + <fp>LED-1206</fp> + <fp>LEDV</fp> + </footprints> + <fields> + <field name="Reference">D</field> + <field name="Value">LED</field> + </fields> + <pins> + <pin num="1" name="K" type="passive"/> + <pin num="2" name="A" type="passive"/> + </pins> + </libpart> + </libparts> + <libraries> + <library logical="device"> + <uri>/usr/share/kicad/library/device.lib</uri> + </library> + <library logical="conn"> + <uri>/usr/share/kicad/library/conn.lib</uri> + </library> + <library logical="satnogs"> + <uri>/home/azisi/Documents/projects/SatNOGS/satnogs-rotator-controller/PCB/library/satnogs.lib</uri> + </library> + </libraries> + <nets> + <net code="1" name="/MagINCn"> + <node ref="U1" pin="1"/> + <node ref="R1" pin="2"/> + </net> + <net code="2" name="/PWM"> + <node ref="P1" pin="4"/> + <node ref="P1" pin="3"/> + <node ref="U1" pin="12"/> + </net> + <net code="3" name="/Prog"> + <node ref="R3" pin="2"/> + <node ref="C1" pin="2"/> + <node ref="U1" pin="8"/> + </net> + <net code="4" name="/CS"> + <node ref="U1" pin="11"/> + <node ref="P1" pin="5"/> + <node ref="P1" pin="6"/> + </net> + <net code="5" name="/DO"> + <node ref="U1" pin="9"/> + <node ref="P1" pin="10"/> + <node ref="P1" pin="9"/> + </net> + <net code="6" name="/CLK"> + <node ref="U1" pin="10"/> + <node ref="P1" pin="8"/> + <node ref="P1" pin="7"/> + </net> + <net code="7" name="GND"> + <node ref="C1" pin="1"/> + <node ref="U1" pin="7"/> + <node ref="C4" pin="2"/> + <node ref="C2" pin="2"/> + <node ref="C3" pin="2"/> + <node ref="P1" pin="14"/> + <node ref="P1" pin="13"/> + </net> + <net code="8" name="+5V"> + <node ref="D1" pin="2"/> + <node ref="U1" pin="16"/> + <node ref="D2" pin="2"/> + <node ref="P1" pin="1"/> + <node ref="P1" pin="2"/> + <node ref="C4" pin="1"/> + </net> + <net code="9" name="Net-(U1-Pad4)"> + <node ref="U1" pin="4"/> + </net> + <net code="10" name="Net-(D1-Pad1)"> + <node ref="D1" pin="1"/> + <node ref="R1" pin="1"/> + </net> + <net code="11" name="/DI"> + <node ref="R3" pin="1"/> + <node ref="P1" pin="12"/> + <node ref="P1" pin="11"/> + </net> + <net code="12" name="Net-(D2-Pad1)"> + <node ref="D2" pin="1"/> + <node ref="R2" pin="1"/> + </net> + <net code="13" name="Net-(U1-Pad3)"> + <node ref="U1" pin="3"/> + </net> + <net code="14" name="Net-(U1-Pad6)"> + <node ref="U1" pin="6"/> + </net> + <net code="15" name="/MagDECn"> + <node ref="U1" pin="2"/> + <node ref="R2" pin="2"/> + </net> + <net code="16" name="Net-(U1-Pad5)"> + <node ref="U1" pin="5"/> + </net> + <net code="17" name="Net-(U1-Pad13)"> + <node ref="U1" pin="13"/> + </net> + <net code="18" name="Net-(U1-Pad14)"> + <node ref="U1" pin="14"/> + </net> + <net code="19" name="Net-(C2-Pad1)"> + <node ref="C3" pin="1"/> + <node ref="U1" pin="15"/> + <node ref="C2" pin="1"/> + </net> + </nets> +</export> diff --git a/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-B_Cu.gbr b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-B_Cu.gbr new file mode 100644 index 0000000..9828885 --- /dev/null +++ b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-B_Cu.gbr @@ -0,0 +1,354 @@ +G04 #@! TF.FileFunction,Copper,L2,Bot,Signal* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:16:07 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11R,1.727200X1.727200*% +%ADD12O,1.727200X1.727200*% +%ADD13R,2.000000X2.000000*% +%ADD14C,2.000000*% +%ADD15C,1.600000*% +%ADD16C,0.600000*% +%ADD17C,0.254000*% +G04 APERTURE END LIST* +D10* +D11* +X156845000Y-97790000D03* +D12* +X159385000Y-97790000D03* +X156845000Y-100330000D03* +X159385000Y-100330000D03* +X156845000Y-102870000D03* +X159385000Y-102870000D03* +X156845000Y-105410000D03* +X159385000Y-105410000D03* +X156845000Y-107950000D03* +X159385000Y-107950000D03* +X156845000Y-110490000D03* +X159385000Y-110490000D03* +X156845000Y-113030000D03* +X159385000Y-113030000D03* +D13* +X141605000Y-106934000D03* +D14* +X139065000Y-106934000D03* +D13* +X141605000Y-111887000D03* +D14* +X139065000Y-111887000D03* +D15* +X143961183Y-103289815D03* +X153289000Y-114427000D03* +D16* +X143961183Y-103289815D02* +X143891000Y-103359998D01* +X143891000Y-103359998D02* +X143891000Y-113157000D01* +X143891000Y-113157000D02* +X145161000Y-114427000D01* +X145161000Y-114427000D02* +X153289000Y-114427000D01* +D17* +G36* +X160923000Y-96878213D02* +X160686225Y-96523855D01* +X160105313Y-96135701D01* +X159420080Y-95999400D01* +X159349920Y-95999400D01* +X158664687Y-96135701D01* +X158410615Y-96305467D01* +X158386099Y-96267367D01* +X158076321Y-96055704D01* +X157708600Y-95981239D01* +X155981400Y-95981239D01* +X155637874Y-96045878D01* +X155322367Y-96248901D01* +X155110704Y-96558679D01* +X155036239Y-96926400D01* +X155036239Y-98653600D01* +X155100878Y-98997126D01* +X155303901Y-99312633D01* +X155354463Y-99347180D01* +X155155621Y-99644767D01* +X155019320Y-100330000D01* +X155155621Y-101015233D01* +X155543775Y-101596145D01* +X155549544Y-101600000D01* +X155543775Y-101603855D01* +X155155621Y-102184767D01* +X155019320Y-102870000D01* +X155155621Y-103555233D01* +X155543775Y-104136145D01* +X155549544Y-104140000D01* +X155543775Y-104143855D01* +X155155621Y-104724767D01* +X155019320Y-105410000D01* +X155155621Y-106095233D01* +X155543775Y-106676145D01* +X155549544Y-106680000D01* +X155543775Y-106683855D01* +X155155621Y-107264767D01* +X155019320Y-107950000D01* +X155155621Y-108635233D01* +X155543775Y-109216145D01* +X155549544Y-109220000D01* +X155543775Y-109223855D01* +X155155621Y-109804767D01* +X155019320Y-110490000D01* +X155155621Y-111175233D01* +X155543775Y-111756145D01* +X155838156Y-111952845D01* +X155562312Y-112255053D01* +X155390042Y-112670974D01* +X155511183Y-112903000D01* +X156718000Y-112903000D01* +X156718000Y-112883000D01* +X156972000Y-112883000D01* +X156972000Y-112903000D01* +X159258000Y-112903000D01* +X159258000Y-112883000D01* +X159512000Y-112883000D01* +X159512000Y-112903000D01* +X160718817Y-112903000D01* +X160839958Y-112670974D01* +X160667688Y-112255053D01* +X160391844Y-111952845D01* +X160686225Y-111756145D01* +X160923000Y-111401787D01* +X160923000Y-114949000D01* +X137908000Y-114949000D01* +X137908000Y-113455549D01* +X137972017Y-113519678D01* +X138680014Y-113813665D01* +X139446622Y-113814334D01* +X139990983Y-113589409D01* +X140237279Y-113757696D01* +X140605000Y-113832161D01* +X142605000Y-113832161D01* +X142948526Y-113767522D01* +X143264033Y-113564499D01* +X143383928Y-113389026D01* +X155390042Y-113389026D01* +X155562312Y-113804947D01* +X155956510Y-114236821D01* +X156485973Y-114484968D01* +X156718000Y-114364469D01* +X156718000Y-113157000D01* +X156972000Y-113157000D01* +X156972000Y-114364469D01* +X157204027Y-114484968D01* +X157733490Y-114236821D01* +X158115000Y-113818848D01* +X158496510Y-114236821D01* +X159025973Y-114484968D01* +X159258000Y-114364469D01* +X159258000Y-113157000D01* +X159512000Y-113157000D01* +X159512000Y-114364469D01* +X159744027Y-114484968D01* +X160273490Y-114236821D01* +X160667688Y-113804947D01* +X160839958Y-113389026D01* +X160718817Y-113157000D01* +X159512000Y-113157000D01* +X159258000Y-113157000D01* +X156972000Y-113157000D01* +X156718000Y-113157000D01* +X155511183Y-113157000D01* +X155390042Y-113389026D01* +X143383928Y-113389026D01* +X143475696Y-113254721D01* +X143550161Y-112887000D01* +X143550161Y-110887000D01* +X143485522Y-110543474D01* +X143282499Y-110227967D01* +X142972721Y-110016304D01* +X142605000Y-109941839D01* +X140605000Y-109941839D01* +X140261474Y-110006478D01* +X139986786Y-110183235D01* +X139449986Y-109960335D01* +X138683378Y-109959666D01* +X137974868Y-110252416D01* +X137908000Y-110319168D01* +X137908000Y-108502549D01* +X137972017Y-108566678D01* +X138680014Y-108860665D01* +X139446622Y-108861334D01* +X139990983Y-108636409D01* +X140237279Y-108804696D01* +X140605000Y-108879161D01* +X142605000Y-108879161D01* +X142948526Y-108814522D01* +X143264033Y-108611499D01* +X143475696Y-108301721D01* +X143550161Y-107934000D01* +X143550161Y-105934000D01* +X143485522Y-105590474D01* +X143282499Y-105274967D01* +X142972721Y-105063304D01* +X142605000Y-104988839D01* +X140605000Y-104988839D01* +X140261474Y-105053478D01* +X139986786Y-105230235D01* +X139449986Y-105007335D01* +X138683378Y-105006666D01* +X137974868Y-105299416D01* +X137908000Y-105366168D01* +X137908000Y-94474000D01* +X160923000Y-94474000D01* +X160923000Y-96878213D01* +X160923000Y-96878213D01* +G37* +X160923000Y-96878213D02* +X160686225Y-96523855D01* +X160105313Y-96135701D01* +X159420080Y-95999400D01* +X159349920Y-95999400D01* +X158664687Y-96135701D01* +X158410615Y-96305467D01* +X158386099Y-96267367D01* +X158076321Y-96055704D01* +X157708600Y-95981239D01* +X155981400Y-95981239D01* +X155637874Y-96045878D01* +X155322367Y-96248901D01* +X155110704Y-96558679D01* +X155036239Y-96926400D01* +X155036239Y-98653600D01* +X155100878Y-98997126D01* +X155303901Y-99312633D01* +X155354463Y-99347180D01* +X155155621Y-99644767D01* +X155019320Y-100330000D01* +X155155621Y-101015233D01* +X155543775Y-101596145D01* +X155549544Y-101600000D01* +X155543775Y-101603855D01* +X155155621Y-102184767D01* +X155019320Y-102870000D01* +X155155621Y-103555233D01* +X155543775Y-104136145D01* +X155549544Y-104140000D01* +X155543775Y-104143855D01* +X155155621Y-104724767D01* +X155019320Y-105410000D01* +X155155621Y-106095233D01* +X155543775Y-106676145D01* +X155549544Y-106680000D01* +X155543775Y-106683855D01* +X155155621Y-107264767D01* +X155019320Y-107950000D01* +X155155621Y-108635233D01* +X155543775Y-109216145D01* +X155549544Y-109220000D01* +X155543775Y-109223855D01* +X155155621Y-109804767D01* +X155019320Y-110490000D01* +X155155621Y-111175233D01* +X155543775Y-111756145D01* +X155838156Y-111952845D01* +X155562312Y-112255053D01* +X155390042Y-112670974D01* +X155511183Y-112903000D01* +X156718000Y-112903000D01* +X156718000Y-112883000D01* +X156972000Y-112883000D01* +X156972000Y-112903000D01* +X159258000Y-112903000D01* +X159258000Y-112883000D01* +X159512000Y-112883000D01* +X159512000Y-112903000D01* +X160718817Y-112903000D01* +X160839958Y-112670974D01* +X160667688Y-112255053D01* +X160391844Y-111952845D01* +X160686225Y-111756145D01* +X160923000Y-111401787D01* +X160923000Y-114949000D01* +X137908000Y-114949000D01* +X137908000Y-113455549D01* +X137972017Y-113519678D01* +X138680014Y-113813665D01* +X139446622Y-113814334D01* +X139990983Y-113589409D01* +X140237279Y-113757696D01* +X140605000Y-113832161D01* +X142605000Y-113832161D01* +X142948526Y-113767522D01* +X143264033Y-113564499D01* +X143383928Y-113389026D01* +X155390042Y-113389026D01* +X155562312Y-113804947D01* +X155956510Y-114236821D01* +X156485973Y-114484968D01* +X156718000Y-114364469D01* +X156718000Y-113157000D01* +X156972000Y-113157000D01* +X156972000Y-114364469D01* +X157204027Y-114484968D01* +X157733490Y-114236821D01* +X158115000Y-113818848D01* +X158496510Y-114236821D01* +X159025973Y-114484968D01* +X159258000Y-114364469D01* +X159258000Y-113157000D01* +X159512000Y-113157000D01* +X159512000Y-114364469D01* +X159744027Y-114484968D01* +X160273490Y-114236821D01* +X160667688Y-113804947D01* +X160839958Y-113389026D01* +X160718817Y-113157000D01* +X159512000Y-113157000D01* +X159258000Y-113157000D01* +X156972000Y-113157000D01* +X156718000Y-113157000D01* +X155511183Y-113157000D01* +X155390042Y-113389026D01* +X143383928Y-113389026D01* +X143475696Y-113254721D01* +X143550161Y-112887000D01* +X143550161Y-110887000D01* +X143485522Y-110543474D01* +X143282499Y-110227967D01* +X142972721Y-110016304D01* +X142605000Y-109941839D01* +X140605000Y-109941839D01* +X140261474Y-110006478D01* +X139986786Y-110183235D01* +X139449986Y-109960335D01* +X138683378Y-109959666D01* +X137974868Y-110252416D01* +X137908000Y-110319168D01* +X137908000Y-108502549D01* +X137972017Y-108566678D01* +X138680014Y-108860665D01* +X139446622Y-108861334D01* +X139990983Y-108636409D01* +X140237279Y-108804696D01* +X140605000Y-108879161D01* +X142605000Y-108879161D01* +X142948526Y-108814522D01* +X143264033Y-108611499D01* +X143475696Y-108301721D01* +X143550161Y-107934000D01* +X143550161Y-105934000D01* +X143485522Y-105590474D01* +X143282499Y-105274967D01* +X142972721Y-105063304D01* +X142605000Y-104988839D01* +X140605000Y-104988839D01* +X140261474Y-105053478D01* +X139986786Y-105230235D01* +X139449986Y-105007335D01* +X138683378Y-105006666D01* +X137974868Y-105299416D01* +X137908000Y-105366168D01* +X137908000Y-94474000D01* +X160923000Y-94474000D01* +X160923000Y-96878213D01* +M02* diff --git a/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-B_SilkS.gbr b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-B_SilkS.gbr new file mode 100644 index 0000000..dc19faa --- /dev/null +++ b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-B_SilkS.gbr @@ -0,0 +1,755 @@ +G04 #@! TF.FileFunction,Legend,Bot* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:16:07 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,0.150000*% +G04 APERTURE END LIST* +D10* +D11* +X147302220Y-101495860D02* +X146941540Y-102966520D01* +X146941540Y-102966520D02* +X146662140Y-101904800D01* +X146662140Y-101904800D02* +X146352260Y-102976680D01* +X146352260Y-102976680D02* +X146011900Y-101526340D01* +X148722080Y-102186740D02* +X147932140Y-102176580D01* +X147932140Y-102176580D02* +X147921980Y-102186740D01* +X147921980Y-102186740D02* +X147921980Y-102176580D01* +X147881340Y-101465380D02* +X147881340Y-103007160D01* +X148770340Y-101455220D02* +X148770340Y-103024940D01* +X148770340Y-103024940D02* +X148760180Y-103014780D01* +X149321520Y-101556820D02* +X149672040Y-101475540D01* +X149672040Y-101475540D02* +X149992080Y-101465380D01* +X149992080Y-101465380D02* +X150230840Y-101666040D01* +X150230840Y-101666040D02* +X150261320Y-101935280D01* +X150261320Y-101935280D02* +X150020020Y-102176580D01* +X150020020Y-102176580D02* +X149631400Y-102306120D01* +X149631400Y-102306120D02* +X149451060Y-102466140D01* +X149451060Y-102466140D02* +X149410420Y-102765860D01* +X149410420Y-102765860D02* +X149641560Y-102986840D01* +X149641560Y-102986840D02* +X149961600Y-103014780D01* +X149961600Y-103014780D02* +X150312120Y-102905560D01* +X151350980Y-101455220D02* +X151599900Y-101475540D01* +X151599900Y-101475540D02* +X151841200Y-101716840D01* +X151841200Y-101716840D02* +X151930100Y-102207060D01* +X151930100Y-102207060D02* +X151902160Y-102555040D01* +X151902160Y-102555040D02* +X151701500Y-102875080D01* +X151701500Y-102875080D02* +X151450040Y-102997000D01* +X151450040Y-102997000D02* +X151140160Y-102925880D01* +X151140160Y-102925880D02* +X150921720Y-102745540D01* +X150921720Y-102745540D02* +X150850600Y-102285800D01* +X150850600Y-102285800D02* +X150901400Y-101876860D01* +X150901400Y-101876860D02* +X151010620Y-101594920D01* +X151010620Y-101594920D02* +X151371300Y-101465380D01* +X150751540Y-99735640D02* +X151010620Y-100296980D01* +X151010620Y-100296980D02* +X150472140Y-100815140D01* +X150472140Y-100815140D02* +X149951440Y-100545900D01* +X149951440Y-100545900D02* +X149672040Y-100705920D01* +X148231860Y-100685600D02* +X147901660Y-100495100D01* +X147901660Y-100495100D02* +X147462240Y-100825300D01* +X147462240Y-100825300D02* +X146989800Y-100335080D01* +X146989800Y-100335080D02* +X147271740Y-99855020D01* +X147271740Y-99855020D02* +X147081240Y-99385120D01* +X147081240Y-99385120D02* +X146471640Y-99197160D01* +X146471640Y-99197160D02* +X146471640Y-98516440D01* +X146471640Y-98516440D02* +X147030440Y-98376740D01* +X147030440Y-98376740D02* +X147231100Y-97805240D01* +X147231100Y-97805240D02* +X146961860Y-97335340D01* +X146961860Y-97335340D02* +X147431760Y-96824800D01* +X147431760Y-96824800D02* +X147949920Y-97086420D01* +X147949920Y-97086420D02* +X148419820Y-96885760D01* +X148419820Y-96885760D02* +X148590000Y-96344740D01* +X148590000Y-96344740D02* +X149280880Y-96326960D01* +X149280880Y-96326960D02* +X149491700Y-96875600D01* +X149491700Y-96875600D02* +X149910800Y-97045780D01* +X149910800Y-97045780D02* +X150461980Y-96776540D01* +X150461980Y-96776540D02* +X150980140Y-97304860D01* +X150980140Y-97304860D02* +X150731220Y-97845880D01* +X150731220Y-97845880D02* +X150901400Y-98325940D01* +X150901400Y-98325940D02* +X151450040Y-98425000D01* +X151450040Y-98425000D02* +X151460200Y-99126040D01* +X151460200Y-99126040D02* +X150901400Y-99326700D01* +X150901400Y-99326700D02* +X150761700Y-99725480D01* +X148620480Y-99705160D02* +X148320760Y-99555300D01* +X148320760Y-99555300D02* +X148120100Y-99357180D01* +X148120100Y-99357180D02* +X147970240Y-98955860D01* +X147970240Y-98955860D02* +X147970240Y-98557080D01* +X147970240Y-98557080D02* +X148120100Y-98206560D01* +X148120100Y-98206560D02* +X148572220Y-97856040D01* +X148572220Y-97856040D02* +X149021800Y-97805240D01* +X149021800Y-97805240D02* +X149420580Y-97906840D01* +X149420580Y-97906840D02* +X149821900Y-98254820D01* +X149821900Y-98254820D02* +X149971760Y-98706940D01* +X149971760Y-98706940D02* +X149920960Y-99204780D01* +X149920960Y-99204780D02* +X149672040Y-99507040D01* +X149672040Y-99507040D02* +X149321520Y-99705160D01* +X149321520Y-99705160D02* +X149672040Y-100705920D01* +X148620480Y-99705160D02* +X148221700Y-100705920D01* +X141804000Y-108248000D02* +X141804000Y-108048000D01* +X141804000Y-105654000D02* +X141804000Y-105834000D01* +X138576256Y-105964357D02* +G75* +G02X141804000Y-105648000I1727744J-1003643D01* +G01* +X139251994Y-105834932D02* +G75* +G02X141355000Y-105834000I1052006J-1133068D01* +G01* +X141791220Y-108274726D02* +G75* +G02X138554000Y-107928000I-1497220J1306726D01* +G01* +X141317889Y-108047253D02* +G75* +G02X139270000Y-108028000I-1013889J1079253D01* +G01* +X141804000Y-113201000D02* +X141804000Y-113001000D01* +X141804000Y-110607000D02* +X141804000Y-110787000D01* +X138576256Y-110917357D02* +G75* +G02X141804000Y-110601000I1727744J-1003643D01* +G01* +X139251994Y-110787932D02* +G75* +G02X141355000Y-110787000I1052006J-1133068D01* +G01* +X141791220Y-113227726D02* +G75* +G02X138554000Y-112881000I-1497220J1306726D01* +G01* +X141317889Y-113000253D02* +G75* +G02X139270000Y-112981000I-1013889J1079253D01* +G01* +D10* +X140015000Y-106934000D02* +G75* +G03X140015000Y-106934000I-950000J0D01* +G01* +X140015000Y-111887000D02* +G75* +G03X140015000Y-111887000I-950000J0D01* +G01* +D11* +X157301098Y-94432476D02* +X157377288Y-94384857D01* +X157529669Y-94384857D01* +X157605860Y-94432476D01* +X157682050Y-94527714D01* +X157720145Y-94622952D01* +X157720145Y-94813429D01* +X157682050Y-94908667D01* +X157605860Y-95003905D01* +X157529669Y-95051524D01* +X157377288Y-95051524D01* +X157301098Y-95003905D01* +X157453479Y-94051524D02* +X157643955Y-94099143D01* +X157834431Y-94242000D01* +X157948717Y-94480095D01* +X157986812Y-94718190D01* +X157948717Y-94956286D01* +X157834431Y-95194381D01* +X157643955Y-95337238D01* +X157453479Y-95384857D01* +X157263003Y-95337238D01* +X157072526Y-95194381D01* +X156958241Y-94956286D01* +X156920145Y-94718190D01* +X156958241Y-94480095D01* +X157072526Y-94242000D01* +X157263003Y-94099143D01* +X157453479Y-94051524D01* +X156615383Y-94289619D02* +X156577288Y-94242000D01* +X156501097Y-94194381D01* +X156310621Y-94194381D01* +X156234431Y-94242000D01* +X156196335Y-94289619D01* +X156158240Y-94384857D01* +X156158240Y-94480095D01* +X156196335Y-94622952D01* +X156653478Y-95194381D01* +X156158240Y-95194381D01* +X155663002Y-94194381D02* +X155586811Y-94194381D01* +X155510621Y-94242000D01* +X155472526Y-94289619D01* +X155434430Y-94384857D01* +X155396335Y-94575333D01* +X155396335Y-94813429D01* +X155434430Y-95003905D01* +X155472526Y-95099143D01* +X155510621Y-95146762D01* +X155586811Y-95194381D01* +X155663002Y-95194381D01* +X155739192Y-95146762D01* +X155777288Y-95099143D01* +X155815383Y-95003905D01* +X155853478Y-94813429D01* +X155853478Y-94575333D01* +X155815383Y-94384857D01* +X155777288Y-94289619D01* +X155739192Y-94242000D01* +X155663002Y-94194381D01* +X154634430Y-95194381D02* +X155091573Y-95194381D01* +X154863002Y-95194381D02* +X154863002Y-94194381D01* +X154939192Y-94337238D01* +X155015383Y-94432476D01* +X155091573Y-94480095D01* +X153910620Y-94194381D02* +X154291573Y-94194381D01* +X154329668Y-94670571D01* +X154291573Y-94622952D01* +X154215382Y-94575333D01* +X154024906Y-94575333D01* +X153948716Y-94622952D01* +X153910620Y-94670571D01* +X153872525Y-94765810D01* +X153872525Y-95003905D01* +X153910620Y-95099143D01* +X153948716Y-95146762D01* +X154024906Y-95194381D01* +X154215382Y-95194381D01* +X154291573Y-95146762D01* +X154329668Y-95099143D01* +X152539191Y-95194381D02* +X152920144Y-95194381D01* +X152920144Y-94194381D01* +X152272525Y-95194381D02* +X152272525Y-94527714D01* +X152272525Y-94194381D02* +X152310620Y-94242000D01* +X152272525Y-94289619D01* +X152234430Y-94242000D01* +X152272525Y-94194381D01* +X152272525Y-94289619D01* +X151891573Y-95194381D02* +X151891573Y-94194381D01* +X151891573Y-94575333D02* +X151815382Y-94527714D01* +X151663001Y-94527714D01* +X151586811Y-94575333D01* +X151548716Y-94622952D01* +X151510620Y-94718190D01* +X151510620Y-95003905D01* +X151548716Y-95099143D01* +X151586811Y-95146762D01* +X151663001Y-95194381D01* +X151815382Y-95194381D01* +X151891573Y-95146762D01* +X151167763Y-95194381D02* +X151167763Y-94527714D01* +X151167763Y-94718190D02* +X151129668Y-94622952D01* +X151091572Y-94575333D01* +X151015382Y-94527714D01* +X150939191Y-94527714D01* +X150367763Y-95146762D02* +X150443953Y-95194381D01* +X150596334Y-95194381D01* +X150672525Y-95146762D01* +X150710620Y-95051524D01* +X150710620Y-94670571D01* +X150672525Y-94575333D01* +X150596334Y-94527714D01* +X150443953Y-94527714D01* +X150367763Y-94575333D01* +X150329668Y-94670571D01* +X150329668Y-94765810D01* +X150710620Y-94861048D01* +X149415382Y-95146762D02* +X149301096Y-95194381D01* +X149110620Y-95194381D01* +X149034430Y-95146762D01* +X148996334Y-95099143D01* +X148958239Y-95003905D01* +X148958239Y-94908667D01* +X148996334Y-94813429D01* +X149034430Y-94765810D01* +X149110620Y-94718190D01* +X149263001Y-94670571D01* +X149339192Y-94622952D01* +X149377287Y-94575333D01* +X149415382Y-94480095D01* +X149415382Y-94384857D01* +X149377287Y-94289619D01* +X149339192Y-94242000D01* +X149263001Y-94194381D01* +X149072525Y-94194381D01* +X148958239Y-94242000D01* +X148615382Y-94527714D02* +X148615382Y-95527714D01* +X148615382Y-94575333D02* +X148539191Y-94527714D01* +X148386810Y-94527714D01* +X148310620Y-94575333D01* +X148272525Y-94622952D01* +X148234429Y-94718190D01* +X148234429Y-95003905D01* +X148272525Y-95099143D01* +X148310620Y-95146762D01* +X148386810Y-95194381D01* +X148539191Y-95194381D01* +X148615382Y-95146762D01* +X147548715Y-95194381D02* +X147548715Y-94670571D01* +X147586810Y-94575333D01* +X147663000Y-94527714D01* +X147815381Y-94527714D01* +X147891572Y-94575333D01* +X147548715Y-95146762D02* +X147624905Y-95194381D01* +X147815381Y-95194381D01* +X147891572Y-95146762D01* +X147929667Y-95051524D01* +X147929667Y-94956286D01* +X147891572Y-94861048D01* +X147815381Y-94813429D01* +X147624905Y-94813429D01* +X147548715Y-94765810D01* +X146824905Y-95146762D02* +X146901095Y-95194381D01* +X147053476Y-95194381D01* +X147129667Y-95146762D01* +X147167762Y-95099143D01* +X147205857Y-95003905D01* +X147205857Y-94718190D01* +X147167762Y-94622952D01* +X147129667Y-94575333D01* +X147053476Y-94527714D01* +X146901095Y-94527714D01* +X146824905Y-94575333D01* +X146177286Y-95146762D02* +X146253476Y-95194381D01* +X146405857Y-95194381D01* +X146482048Y-95146762D01* +X146520143Y-95051524D01* +X146520143Y-94670571D01* +X146482048Y-94575333D01* +X146405857Y-94527714D01* +X146253476Y-94527714D01* +X146177286Y-94575333D01* +X146139191Y-94670571D01* +X146139191Y-94765810D01* +X146520143Y-94861048D01* +X144920143Y-94670571D02* +X145186810Y-94670571D01* +X145186810Y-95194381D02* +X145186810Y-94194381D01* +X144805857Y-94194381D01* +X144386810Y-95194381D02* +X144463001Y-95146762D01* +X144501096Y-95099143D01* +X144539191Y-95003905D01* +X144539191Y-94718190D01* +X144501096Y-94622952D01* +X144463001Y-94575333D01* +X144386810Y-94527714D01* +X144272524Y-94527714D01* +X144196334Y-94575333D01* +X144158239Y-94622952D01* +X144120143Y-94718190D01* +X144120143Y-95003905D01* +X144158239Y-95099143D01* +X144196334Y-95146762D01* +X144272524Y-95194381D01* +X144386810Y-95194381D01* +X143434429Y-94527714D02* +X143434429Y-95194381D01* +X143777286Y-94527714D02* +X143777286Y-95051524D01* +X143739191Y-95146762D01* +X143663000Y-95194381D01* +X143548714Y-95194381D01* +X143472524Y-95146762D01* +X143434429Y-95099143D01* +X143053476Y-94527714D02* +X143053476Y-95194381D01* +X143053476Y-94622952D02* +X143015381Y-94575333D01* +X142939190Y-94527714D01* +X142824904Y-94527714D01* +X142748714Y-94575333D01* +X142710619Y-94670571D01* +X142710619Y-95194381D01* +X141986809Y-95194381D02* +X141986809Y-94194381D01* +X141986809Y-95146762D02* +X142062999Y-95194381D01* +X142215380Y-95194381D01* +X142291571Y-95146762D01* +X142329666Y-95099143D01* +X142367761Y-95003905D01* +X142367761Y-94718190D01* +X142329666Y-94622952D01* +X142291571Y-94575333D01* +X142215380Y-94527714D01* +X142062999Y-94527714D01* +X141986809Y-94575333D01* +X141262999Y-95194381D02* +X141262999Y-94670571D01* +X141301094Y-94575333D01* +X141377284Y-94527714D01* +X141529665Y-94527714D01* +X141605856Y-94575333D01* +X141262999Y-95146762D02* +X141339189Y-95194381D01* +X141529665Y-95194381D01* +X141605856Y-95146762D01* +X141643951Y-95051524D01* +X141643951Y-94956286D01* +X141605856Y-94861048D01* +X141529665Y-94813429D01* +X141339189Y-94813429D01* +X141262999Y-94765810D01* +X140996332Y-94527714D02* +X140691570Y-94527714D01* +X140882046Y-94194381D02* +X140882046Y-95051524D01* +X140843951Y-95146762D01* +X140767760Y-95194381D01* +X140691570Y-95194381D01* +X140424903Y-95194381D02* +X140424903Y-94527714D01* +X140424903Y-94194381D02* +X140462998Y-94242000D01* +X140424903Y-94289619D01* +X140386808Y-94242000D01* +X140424903Y-94194381D01* +X140424903Y-94289619D01* +X139929665Y-95194381D02* +X140005856Y-95146762D01* +X140043951Y-95099143D01* +X140082046Y-95003905D01* +X140082046Y-94718190D01* +X140043951Y-94622952D01* +X140005856Y-94575333D01* +X139929665Y-94527714D01* +X139815379Y-94527714D01* +X139739189Y-94575333D01* +X139701094Y-94622952D01* +X139662998Y-94718190D01* +X139662998Y-95003905D01* +X139701094Y-95099143D01* +X139739189Y-95146762D01* +X139815379Y-95194381D01* +X139929665Y-95194381D01* +X139320141Y-94527714D02* +X139320141Y-95194381D01* +X139320141Y-94622952D02* +X139282046Y-94575333D01* +X139205855Y-94527714D01* +X139091569Y-94527714D01* +X139015379Y-94575333D01* +X138977284Y-94670571D01* +X138977284Y-95194381D01* +X153784930Y-105771582D02* +X153670644Y-105819201D01* +X153480168Y-105819201D01* +X153403978Y-105771582D01* +X153365882Y-105723963D01* +X153327787Y-105628725D01* +X153327787Y-105533487D01* +X153365882Y-105438249D01* +X153403978Y-105390630D01* +X153480168Y-105343010D01* +X153632549Y-105295391D01* +X153708740Y-105247772D01* +X153746835Y-105200153D01* +X153784930Y-105104915D01* +X153784930Y-105009677D01* +X153746835Y-104914439D01* +X153708740Y-104866820D01* +X153632549Y-104819201D01* +X153442073Y-104819201D01* +X153327787Y-104866820D01* +X152642073Y-105819201D02* +X152642073Y-105295391D01* +X152680168Y-105200153D01* +X152756358Y-105152534D01* +X152908739Y-105152534D01* +X152984930Y-105200153D01* +X152642073Y-105771582D02* +X152718263Y-105819201D01* +X152908739Y-105819201D01* +X152984930Y-105771582D01* +X153023025Y-105676344D01* +X153023025Y-105581106D01* +X152984930Y-105485868D01* +X152908739Y-105438249D01* +X152718263Y-105438249D01* +X152642073Y-105390630D01* +X152375406Y-105152534D02* +X152070644Y-105152534D01* +X152261120Y-104819201D02* +X152261120Y-105676344D01* +X152223025Y-105771582D01* +X152146834Y-105819201D01* +X152070644Y-105819201D01* +X151803977Y-105819201D02* +X151803977Y-104819201D01* +X151346834Y-105819201D01* +X151346834Y-104819201D01* +X150813501Y-104819201D02* +X150661120Y-104819201D01* +X150584929Y-104866820D01* +X150508739Y-104962058D01* +X150470644Y-105152534D01* +X150470644Y-105485868D01* +X150508739Y-105676344D01* +X150584929Y-105771582D01* +X150661120Y-105819201D01* +X150813501Y-105819201D01* +X150889691Y-105771582D01* +X150965882Y-105676344D01* +X151003977Y-105485868D01* +X151003977Y-105152534D01* +X150965882Y-104962058D01* +X150889691Y-104866820D01* +X150813501Y-104819201D01* +X149708739Y-104866820D02* +X149784930Y-104819201D01* +X149899215Y-104819201D01* +X150013501Y-104866820D01* +X150089692Y-104962058D01* +X150127787Y-105057296D01* +X150165882Y-105247772D01* +X150165882Y-105390630D01* +X150127787Y-105581106D01* +X150089692Y-105676344D01* +X150013501Y-105771582D01* +X149899215Y-105819201D01* +X149823025Y-105819201D01* +X149708739Y-105771582D01* +X149670644Y-105723963D01* +X149670644Y-105390630D01* +X149823025Y-105390630D01* +X149365882Y-105771582D02* +X149251596Y-105819201D01* +X149061120Y-105819201D01* +X148984930Y-105771582D01* +X148946834Y-105723963D01* +X148908739Y-105628725D01* +X148908739Y-105533487D01* +X148946834Y-105438249D01* +X148984930Y-105390630D01* +X149061120Y-105343010D01* +X149213501Y-105295391D01* +X149289692Y-105247772D01* +X149327787Y-105200153D01* +X149365882Y-105104915D01* +X149365882Y-105009677D01* +X149327787Y-104914439D01* +X149289692Y-104866820D01* +X149213501Y-104819201D01* +X149023025Y-104819201D01* +X148908739Y-104866820D01* +X147956358Y-105295391D02* +X147689691Y-105295391D01* +X147575405Y-105819201D02* +X147956358Y-105819201D01* +X147956358Y-104819201D01* +X147575405Y-104819201D01* +X147232548Y-105152534D02* +X147232548Y-105819201D01* +X147232548Y-105247772D02* +X147194453Y-105200153D01* +X147118262Y-105152534D01* +X147003976Y-105152534D01* +X146927786Y-105200153D01* +X146889691Y-105295391D01* +X146889691Y-105819201D01* +X146165881Y-105771582D02* +X146242071Y-105819201D01* +X146394452Y-105819201D01* +X146470643Y-105771582D01* +X146508738Y-105723963D01* +X146546833Y-105628725D01* +X146546833Y-105343010D01* +X146508738Y-105247772D01* +X146470643Y-105200153D01* +X146394452Y-105152534D01* +X146242071Y-105152534D01* +X146165881Y-105200153D01* +X145708738Y-105819201D02* +X145784929Y-105771582D01* +X145823024Y-105723963D01* +X145861119Y-105628725D01* +X145861119Y-105343010D01* +X145823024Y-105247772D01* +X145784929Y-105200153D01* +X145708738Y-105152534D01* +X145594452Y-105152534D01* +X145518262Y-105200153D01* +X145480167Y-105247772D01* +X145442071Y-105343010D01* +X145442071Y-105628725D01* +X145480167Y-105723963D01* +X145518262Y-105771582D01* +X145594452Y-105819201D01* +X145708738Y-105819201D01* +X144756357Y-105819201D02* +X144756357Y-104819201D01* +X144756357Y-105771582D02* +X144832547Y-105819201D01* +X144984928Y-105819201D01* +X145061119Y-105771582D01* +X145099214Y-105723963D01* +X145137309Y-105628725D01* +X145137309Y-105343010D01* +X145099214Y-105247772D01* +X145061119Y-105200153D01* +X144984928Y-105152534D01* +X144832547Y-105152534D01* +X144756357Y-105200153D01* +X144070642Y-105771582D02* +X144146832Y-105819201D01* +X144299213Y-105819201D01* +X144375404Y-105771582D01* +X144413499Y-105676344D01* +X144413499Y-105295391D01* +X144375404Y-105200153D01* +X144299213Y-105152534D01* +X144146832Y-105152534D01* +X144070642Y-105200153D01* +X144032547Y-105295391D01* +X144032547Y-105390630D01* +X144413499Y-105485868D01* +X143689690Y-105819201D02* +X143689690Y-105152534D01* +X143689690Y-105343010D02* +X143651595Y-105247772D01* +X143613499Y-105200153D01* +X143537309Y-105152534D01* +X143461118Y-105152534D01* +X139295095Y-115387381D02* +X139295095Y-114387381D01* +X139057000Y-114387381D01* +X138914142Y-114435000D01* +X138818904Y-114530238D01* +X138771285Y-114625476D01* +X138723666Y-114815952D01* +X138723666Y-114958810D01* +X138771285Y-115149286D01* +X138818904Y-115244524D01* +X138914142Y-115339762D01* +X139057000Y-115387381D01* +X139295095Y-115387381D01* +X137771285Y-115387381D02* +X138342714Y-115387381D01* +X138057000Y-115387381D02* +X138057000Y-114387381D01* +X138152238Y-114530238D01* +X138247476Y-114625476D01* +X138342714Y-114673095D01* +X139422095Y-104465381D02* +X139422095Y-103465381D01* +X139184000Y-103465381D01* +X139041142Y-103513000D01* +X138945904Y-103608238D01* +X138898285Y-103703476D01* +X138850666Y-103893952D01* +X138850666Y-104036810D01* +X138898285Y-104227286D01* +X138945904Y-104322524D01* +X139041142Y-104417762D01* +X139184000Y-104465381D01* +X139422095Y-104465381D01* +X138469714Y-103560619D02* +X138422095Y-103513000D01* +X138326857Y-103465381D01* +X138088761Y-103465381D01* +X137993523Y-103513000D01* +X137945904Y-103560619D01* +X137898285Y-103655857D01* +X137898285Y-103751095D01* +X137945904Y-103893952D01* +X138517333Y-104465381D01* +X137898285Y-104465381D01* +M02* diff --git a/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-Edge_Cuts.gbr b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-Edge_Cuts.gbr new file mode 100644 index 0000000..e6799b3 --- /dev/null +++ b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-Edge_Cuts.gbr @@ -0,0 +1,25 @@ +G04 #@! TF.FileFunction,Profile,NP* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:16:07 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,0.150000*% +G04 APERTURE END LIST* +D10* +D11* +X136906000Y-93980000D02* +X136906000Y-93472000D01* +X161925000Y-115443000D02* +X161925000Y-115951000D01* +X136906000Y-115951000D02* +X136906000Y-93980000D01* +X161925000Y-115443000D02* +X161925000Y-93472000D01* +X136906000Y-93472000D02* +X161925000Y-93472000D01* +X136906000Y-115951000D02* +X161925000Y-115951000D01* +M02* diff --git a/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-F_Cu.gbr b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-F_Cu.gbr new file mode 100644 index 0000000..d24ab7d --- /dev/null +++ b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-F_Cu.gbr @@ -0,0 +1,1002 @@ +G04 #@! TF.FileFunction,Copper,L1,Top,Signal* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:16:07 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11R,1.500000X1.250000*% +%ADD12R,0.400000X1.200000*% +%ADD13R,1.727200X1.727200*% +%ADD14O,1.727200X1.727200*% +%ADD15R,1.500000X1.300000*% +%ADD16R,2.000000X2.000000*% +%ADD17C,2.000000*% +%ADD18C,1.600000*% +%ADD19C,0.300000*% +%ADD20C,0.600000*% +%ADD21C,0.254000*% +G04 APERTURE END LIST* +D10* +D11* +X141712000Y-97409000D03* +X139212000Y-97409000D03* +D12* +X146315000Y-105050000D03* +X146965000Y-105050000D03* +X147615000Y-105050000D03* +X148265000Y-105050000D03* +X148915000Y-105050000D03* +X149565000Y-105050000D03* +X150215000Y-105050000D03* +X150865000Y-105050000D03* +X150865000Y-98150000D03* +X150215000Y-98150000D03* +X149565000Y-98150000D03* +X148915000Y-98150000D03* +X148265000Y-98150000D03* +X147615000Y-98150000D03* +X146965000Y-98150000D03* +X146315000Y-98150000D03* +D11* +X153269000Y-112268000D03* +X150769000Y-112268000D03* +X141712000Y-102235000D03* +X139212000Y-102235000D03* +X141712000Y-99822000D03* +X139212000Y-99822000D03* +D13* +X156845000Y-97790000D03* +D14* +X159385000Y-97790000D03* +X156845000Y-100330000D03* +X159385000Y-100330000D03* +X156845000Y-102870000D03* +X159385000Y-102870000D03* +X156845000Y-105410000D03* +X159385000Y-105410000D03* +X156845000Y-107950000D03* +X159385000Y-107950000D03* +X156845000Y-110490000D03* +X159385000Y-110490000D03* +X156845000Y-113030000D03* +X159385000Y-113030000D03* +D15* +X144700000Y-109855000D03* +X147400000Y-109855000D03* +X144700000Y-112268000D03* +X147400000Y-112268000D03* +X153496000Y-109855000D03* +X150796000Y-109855000D03* +D16* +X141605000Y-106934000D03* +D17* +X139065000Y-106934000D03* +D16* +X141605000Y-111887000D03* +D17* +X139065000Y-111887000D03* +D18* +X143961183Y-103289815D03* +X153289000Y-114427000D03* +D19* +X146965000Y-98150000D02* +X146965000Y-99542000D01* +X146965000Y-99542000D02* +X146939000Y-99568000D01* +D20* +X146685000Y-99822000D02* +X146939000Y-99568000D01* +X141712000Y-99822000D02* +X146685000Y-99822000D01* +X141712000Y-102235000D02* +X141712000Y-99822000D01* +D19* +X150215000Y-105050000D02* +X150215000Y-103785000D01* +X150215000Y-103785000D02* +X150241000Y-103759000D01* +D20* +X143961183Y-103289815D02* +X143364998Y-103886000D01* +X143364998Y-103886000D02* +X139954000Y-103886000D01* +X139954000Y-103886000D02* +X139212000Y-103144000D01* +X139212000Y-103144000D02* +X139212000Y-102235000D01* +X143961183Y-103289815D02* +X143999998Y-103251000D01* +X143999998Y-103251000D02* +X149733000Y-103251000D01* +X149733000Y-103251000D02* +X150241000Y-103759000D01* +X153269000Y-112268000D02* +X153269000Y-114407000D01* +X153269000Y-114407000D02* +X153289000Y-114427000D01* +X155508000Y-113030000D02* +X156845000Y-113030000D01* +X153396000Y-112268000D02* +X154746000Y-112268000D01* +X154746000Y-112268000D02* +X155508000Y-113030000D01* +X139212000Y-99822000D02* +X139212000Y-102235000D01* +X139212000Y-97409000D02* +X139212000Y-99822000D01* +X156845000Y-113030000D02* +X159385000Y-113030000D01* +X150769000Y-112268000D02* +X150769000Y-109882000D01* +X150769000Y-109882000D02* +X150796000Y-109855000D01* +X150896000Y-109955000D02* +X150796000Y-109855000D01* +X150865000Y-106542000D02* +X150865000Y-109786000D01* +X150865000Y-109786000D02* +X150796000Y-109855000D01* +D19* +X150865000Y-105050000D02* +X150865000Y-106542000D01* +D20* +X150896000Y-110009000D02* +X150923000Y-109982000D01* +X146315000Y-96658000D02* +X146315000Y-94184244D01* +D19* +X146315000Y-98150000D02* +X146315000Y-96658000D01* +D20* +X145848729Y-94107000D02* +X146392244Y-94107000D01* +X146392244Y-94107000D02* +X146939000Y-94107000D01* +D19* +X146315000Y-94184244D02* +X146392244Y-94107000D01* +D20* +X141712000Y-97409000D02* +X141712000Y-94181860D01* +X141712000Y-94181860D02* +X141786860Y-94107000D01* +X138303000Y-94107000D02* +X141786860Y-94107000D01* +X141786860Y-94107000D02* +X145848729Y-94107000D01* +X156845000Y-97790000D02* +X159385000Y-97790000D01* +D19* +X145923000Y-94181271D02* +X145848729Y-94107000D01* +D20* +X139065000Y-106934000D02* +X139065000Y-105156000D01* +X139065000Y-105156000D02* +X137541000Y-103632000D01* +X137541000Y-103632000D02* +X137541000Y-94869000D01* +X137541000Y-94869000D02* +X138303000Y-94107000D01* +X155067000Y-94107000D02* +X146939000Y-94107000D01* +X156845000Y-95885000D02* +X155067000Y-94107000D01* +X156845000Y-97790000D02* +X156845000Y-95885000D01* +X139065000Y-111887000D02* +X139065000Y-106934000D01* +X143891000Y-106934000D02* +X144700000Y-107743000D01* +X144700000Y-107743000D02* +X144700000Y-109855000D01* +X141605000Y-106934000D02* +X143891000Y-106934000D01* +X143586000Y-112268000D02* +X144700000Y-112268000D01* +X141605000Y-111887000D02* +X143205000Y-111887000D01* +X143205000Y-111887000D02* +X143586000Y-112268000D01* +X141605000Y-111887000D02* +X142367000Y-111887000D01* +X146304000Y-107188000D02* +X146304000Y-106321000D01* +X146304000Y-106321000D02* +X146315000Y-106310000D01* +X147400000Y-108284000D02* +X146304000Y-107188000D01* +X147400000Y-109855000D02* +X147400000Y-108284000D01* +D19* +X146315000Y-105050000D02* +X146315000Y-106310000D01* +D20* +X147400000Y-112268000D02* +X148750000Y-112268000D01* +X148750000Y-112268000D02* +X148971000Y-112047000D01* +X148971000Y-112047000D02* +X148971000Y-108204000D01* +X148971000Y-108204000D02* +X148209000Y-107442000D01* +D19* +X146965000Y-106198000D02* +X147320000Y-106553000D01* +D20* +X148209000Y-107442000D02* +X147320000Y-106553000D01* +D19* +X146965000Y-106198000D02* +X147066000Y-106299000D01* +X146965000Y-105050000D02* +X146965000Y-106198000D01* +D20* +X154686000Y-109855000D02* +X153496000Y-109855000D01* +X155321000Y-110490000D02* +X154686000Y-109855000D01* +X155321000Y-110490000D02* +X156845000Y-110490000D01* +X156845000Y-110490000D02* +X159385000Y-110490000D01* +X151566762Y-100216183D02* +X151566762Y-100131762D01* +X151566762Y-100131762D02* +X150865000Y-99430000D01* +X151566762Y-100216183D02* +X151565001Y-100217944D01* +X151565001Y-100217944D02* +X151565001Y-104067001D01* +X151565001Y-104067001D02* +X155448000Y-107950000D01* +X155448000Y-107950000D02* +X156845000Y-107950000D01* +D19* +X150865000Y-99050000D02* +X150865000Y-99430000D01* +X150865000Y-98150000D02* +X150865000Y-99050000D01* +D20* +X156845000Y-107950000D02* +X159385000Y-107950000D01* +X150495000Y-96647000D02* +X152273000Y-96647000D01* +X152527000Y-102870000D02* +X155067000Y-105410000D01* +X152273000Y-96647000D02* +X152527000Y-96901000D01* +X152527000Y-96901000D02* +X152527000Y-102870000D01* +X155067000Y-105410000D02* +X155623686Y-105410000D01* +X155623686Y-105410000D02* +X156845000Y-105410000D01* +D19* +X150215000Y-98150000D02* +X150215000Y-96927000D01* +X150215000Y-96927000D02* +X150495000Y-96647000D01* +D20* +X156845000Y-105410000D02* +X159385000Y-105410000D01* +D19* +X149733000Y-96139000D02* +X150025010Y-95846990D01* +D20* +X153123990Y-95846990D02* +X153543000Y-96266000D01* +X150025010Y-95846990D02* +X153123990Y-95846990D01* +X153543000Y-96266000D02* +X153543000Y-100789314D01* +X153543000Y-100789314D02* +X155623686Y-102870000D01* +X155623686Y-102870000D02* +X156845000Y-102870000D01* +D19* +X149565000Y-98150000D02* +X149565000Y-96307000D01* +X149565000Y-96307000D02* +X149733000Y-96139000D01* +D20* +X156845000Y-102870000D02* +X159385000Y-102870000D01* +D19* +X148915000Y-96449000D02* +X148915000Y-95687000D01* +D20* +X149606000Y-94996000D02* +X148915000Y-95687000D01* +X154051000Y-94996000D02* +X149606000Y-94996000D01* +X154559000Y-95504000D02* +X154051000Y-94996000D01* +X154559000Y-99695000D02* +X154559000Y-95504000D01* +X155194000Y-100330000D02* +X154559000Y-99695000D01* +X156845000Y-100330000D02* +X155194000Y-100330000D01* +D19* +X148915000Y-98150000D02* +X148915000Y-96449000D01* +D20* +X156845000Y-100330000D02* +X159385000Y-100330000D01* +D21* +G36* +X161223000Y-115249000D02* +X137608000Y-115249000D01* +X137608000Y-112621325D01* +X137684892Y-112807418D01* +X138142175Y-113265499D01* +X138739950Y-113513717D01* +X139387211Y-113514282D01* +X139985418Y-113267108D01* +X140057934Y-113194718D01* +X140146757Y-113332753D01* +X140356283Y-113475917D01* +X140605000Y-113526283D01* +X142605000Y-113526283D01* +X142837352Y-113482563D01* +X143050753Y-113345243D01* +X143193917Y-113135717D01* +X143200379Y-113103807D01* +X143231252Y-113124436D01* +X143354161Y-113148884D01* +X143354437Y-113150352D01* +X143491757Y-113363753D01* +X143701283Y-113506917D01* +X143950000Y-113557283D01* +X145450000Y-113557283D01* +X145682352Y-113513563D01* +X145895753Y-113376243D01* +X146038917Y-113166717D01* +X146048558Y-113119108D01* +X146054437Y-113150352D01* +X146191757Y-113363753D01* +X146401283Y-113506917D01* +X146650000Y-113557283D01* +X148150000Y-113557283D01* +X148382352Y-113513563D01* +X148595753Y-113376243D01* +X148719592Y-113195000D01* +X148750000Y-113195000D01* +X149104748Y-113124436D01* +X149387691Y-112935379D01* +X149423437Y-113125352D01* +X149560757Y-113338753D01* +X149770283Y-113481917D01* +X150019000Y-113532283D01* +X151519000Y-113532283D01* +X151751352Y-113488563D01* +X151964753Y-113351243D01* +X152011216Y-113283242D01* +X152159302Y-113431327D01* +X152392691Y-113528000D01* +X152983250Y-113528000D01* +X153142000Y-113369250D01* +X153142000Y-112395000D01* +X153396000Y-112395000D01* +X153396000Y-113369250D01* +X153554750Y-113528000D01* +X154145309Y-113528000D01* +X154378698Y-113431327D01* +X154420999Y-113389026D01* +X155390042Y-113389026D01* +X155562312Y-113804947D01* +X155956510Y-114236821D01* +X156485973Y-114484968D01* +X156718000Y-114364469D01* +X156718000Y-113157000D01* +X156972000Y-113157000D01* +X156972000Y-114364469D01* +X157204027Y-114484968D01* +X157733490Y-114236821D01* +X158115000Y-113818848D01* +X158496510Y-114236821D01* +X159025973Y-114484968D01* +X159258000Y-114364469D01* +X159258000Y-113157000D01* +X159512000Y-113157000D01* +X159512000Y-114364469D01* +X159744027Y-114484968D01* +X160273490Y-114236821D01* +X160667688Y-113804947D01* +X160839958Y-113389026D01* +X160718817Y-113157000D01* +X159512000Y-113157000D01* +X159258000Y-113157000D01* +X156972000Y-113157000D01* +X156718000Y-113157000D01* +X155511183Y-113157000D01* +X155390042Y-113389026D01* +X154420999Y-113389026D01* +X154557327Y-113252699D01* +X154654000Y-113019310D01* +X154654000Y-112553750D01* +X154495250Y-112395000D01* +X153396000Y-112395000D01* +X153142000Y-112395000D01* +X153122000Y-112395000D01* +X153122000Y-112141000D01* +X153142000Y-112141000D01* +X153142000Y-112121000D01* +X153396000Y-112121000D01* +X153396000Y-112141000D01* +X154495250Y-112141000D01* +X154654000Y-111982250D01* +X154654000Y-111516690D01* +X154557327Y-111283301D01* +X154391021Y-111116996D01* +X154478352Y-111100563D01* +X154564897Y-111044873D01* +X154665512Y-111145488D01* +X154966253Y-111346437D01* +X155321000Y-111417000D01* +X155676916Y-111417000D01* +X155761784Y-111544013D01* +X156087621Y-111761731D01* +X155956510Y-111823179D01* +X155562312Y-112255053D01* +X155390042Y-112670974D01* +X155511183Y-112903000D01* +X156718000Y-112903000D01* +X156718000Y-112883000D01* +X156972000Y-112883000D01* +X156972000Y-112903000D01* +X159258000Y-112903000D01* +X159258000Y-112883000D01* +X159512000Y-112883000D01* +X159512000Y-112903000D01* +X160718817Y-112903000D01* +X160839958Y-112670974D01* +X160667688Y-112255053D01* +X160273490Y-111823179D01* +X160142379Y-111761731D01* +X160468216Y-111544013D01* +X160791338Y-111060428D01* +X160904803Y-110490000D01* +X160791338Y-109919572D01* +X160468216Y-109435987D01* +X160144969Y-109220000D01* +X160468216Y-109004013D01* +X160791338Y-108520428D01* +X160904803Y-107950000D01* +X160791338Y-107379572D01* +X160468216Y-106895987D01* +X160144969Y-106680000D01* +X160468216Y-106464013D01* +X160791338Y-105980428D01* +X160904803Y-105410000D01* +X160791338Y-104839572D01* +X160468216Y-104355987D01* +X160144969Y-104140000D01* +X160468216Y-103924013D01* +X160791338Y-103440428D01* +X160904803Y-102870000D01* +X160791338Y-102299572D01* +X160468216Y-101815987D01* +X160144969Y-101600000D01* +X160468216Y-101384013D01* +X160791338Y-100900428D01* +X160904803Y-100330000D01* +X160791338Y-99759572D01* +X160468216Y-99275987D01* +X160144969Y-99060000D01* +X160468216Y-98844013D01* +X160791338Y-98360428D01* +X160904803Y-97790000D01* +X160791338Y-97219572D01* +X160468216Y-96735987D01* +X159984631Y-96412865D01* +X159414203Y-96299400D01* +X159355797Y-96299400D01* +X158785369Y-96412865D01* +X158310907Y-96729891D01* +X158304163Y-96694048D01* +X158166843Y-96480647D01* +X157957317Y-96337483D01* +X157772000Y-96299956D01* +X157772000Y-95885000D01* +X157754297Y-95796000D01* +X157701437Y-95530253D01* +X157500488Y-95229512D01* +X156444976Y-94174000D01* +X161223000Y-94174000D01* +X161223000Y-115249000D01* +X161223000Y-115249000D01* +G37* +X161223000Y-115249000D02* +X137608000Y-115249000D01* +X137608000Y-112621325D01* +X137684892Y-112807418D01* +X138142175Y-113265499D01* +X138739950Y-113513717D01* +X139387211Y-113514282D01* +X139985418Y-113267108D01* +X140057934Y-113194718D01* +X140146757Y-113332753D01* +X140356283Y-113475917D01* +X140605000Y-113526283D01* +X142605000Y-113526283D01* +X142837352Y-113482563D01* +X143050753Y-113345243D01* +X143193917Y-113135717D01* +X143200379Y-113103807D01* +X143231252Y-113124436D01* +X143354161Y-113148884D01* +X143354437Y-113150352D01* +X143491757Y-113363753D01* +X143701283Y-113506917D01* +X143950000Y-113557283D01* +X145450000Y-113557283D01* +X145682352Y-113513563D01* +X145895753Y-113376243D01* +X146038917Y-113166717D01* +X146048558Y-113119108D01* +X146054437Y-113150352D01* +X146191757Y-113363753D01* +X146401283Y-113506917D01* +X146650000Y-113557283D01* +X148150000Y-113557283D01* +X148382352Y-113513563D01* +X148595753Y-113376243D01* +X148719592Y-113195000D01* +X148750000Y-113195000D01* +X149104748Y-113124436D01* +X149387691Y-112935379D01* +X149423437Y-113125352D01* +X149560757Y-113338753D01* +X149770283Y-113481917D01* +X150019000Y-113532283D01* +X151519000Y-113532283D01* +X151751352Y-113488563D01* +X151964753Y-113351243D01* +X152011216Y-113283242D01* +X152159302Y-113431327D01* +X152392691Y-113528000D01* +X152983250Y-113528000D01* +X153142000Y-113369250D01* +X153142000Y-112395000D01* +X153396000Y-112395000D01* +X153396000Y-113369250D01* +X153554750Y-113528000D01* +X154145309Y-113528000D01* +X154378698Y-113431327D01* +X154420999Y-113389026D01* +X155390042Y-113389026D01* +X155562312Y-113804947D01* +X155956510Y-114236821D01* +X156485973Y-114484968D01* +X156718000Y-114364469D01* +X156718000Y-113157000D01* +X156972000Y-113157000D01* +X156972000Y-114364469D01* +X157204027Y-114484968D01* +X157733490Y-114236821D01* +X158115000Y-113818848D01* +X158496510Y-114236821D01* +X159025973Y-114484968D01* +X159258000Y-114364469D01* +X159258000Y-113157000D01* +X159512000Y-113157000D01* +X159512000Y-114364469D01* +X159744027Y-114484968D01* +X160273490Y-114236821D01* +X160667688Y-113804947D01* +X160839958Y-113389026D01* +X160718817Y-113157000D01* +X159512000Y-113157000D01* +X159258000Y-113157000D01* +X156972000Y-113157000D01* +X156718000Y-113157000D01* +X155511183Y-113157000D01* +X155390042Y-113389026D01* +X154420999Y-113389026D01* +X154557327Y-113252699D01* +X154654000Y-113019310D01* +X154654000Y-112553750D01* +X154495250Y-112395000D01* +X153396000Y-112395000D01* +X153142000Y-112395000D01* +X153122000Y-112395000D01* +X153122000Y-112141000D01* +X153142000Y-112141000D01* +X153142000Y-112121000D01* +X153396000Y-112121000D01* +X153396000Y-112141000D01* +X154495250Y-112141000D01* +X154654000Y-111982250D01* +X154654000Y-111516690D01* +X154557327Y-111283301D01* +X154391021Y-111116996D01* +X154478352Y-111100563D01* +X154564897Y-111044873D01* +X154665512Y-111145488D01* +X154966253Y-111346437D01* +X155321000Y-111417000D01* +X155676916Y-111417000D01* +X155761784Y-111544013D01* +X156087621Y-111761731D01* +X155956510Y-111823179D01* +X155562312Y-112255053D01* +X155390042Y-112670974D01* +X155511183Y-112903000D01* +X156718000Y-112903000D01* +X156718000Y-112883000D01* +X156972000Y-112883000D01* +X156972000Y-112903000D01* +X159258000Y-112903000D01* +X159258000Y-112883000D01* +X159512000Y-112883000D01* +X159512000Y-112903000D01* +X160718817Y-112903000D01* +X160839958Y-112670974D01* +X160667688Y-112255053D01* +X160273490Y-111823179D01* +X160142379Y-111761731D01* +X160468216Y-111544013D01* +X160791338Y-111060428D01* +X160904803Y-110490000D01* +X160791338Y-109919572D01* +X160468216Y-109435987D01* +X160144969Y-109220000D01* +X160468216Y-109004013D01* +X160791338Y-108520428D01* +X160904803Y-107950000D01* +X160791338Y-107379572D01* +X160468216Y-106895987D01* +X160144969Y-106680000D01* +X160468216Y-106464013D01* +X160791338Y-105980428D01* +X160904803Y-105410000D01* +X160791338Y-104839572D01* +X160468216Y-104355987D01* +X160144969Y-104140000D01* +X160468216Y-103924013D01* +X160791338Y-103440428D01* +X160904803Y-102870000D01* +X160791338Y-102299572D01* +X160468216Y-101815987D01* +X160144969Y-101600000D01* +X160468216Y-101384013D01* +X160791338Y-100900428D01* +X160904803Y-100330000D01* +X160791338Y-99759572D01* +X160468216Y-99275987D01* +X160144969Y-99060000D01* +X160468216Y-98844013D01* +X160791338Y-98360428D01* +X160904803Y-97790000D01* +X160791338Y-97219572D01* +X160468216Y-96735987D01* +X159984631Y-96412865D01* +X159414203Y-96299400D01* +X159355797Y-96299400D01* +X158785369Y-96412865D01* +X158310907Y-96729891D01* +X158304163Y-96694048D01* +X158166843Y-96480647D01* +X157957317Y-96337483D01* +X157772000Y-96299956D01* +X157772000Y-95885000D01* +X157754297Y-95796000D01* +X157701437Y-95530253D01* +X157500488Y-95229512D01* +X156444976Y-94174000D01* +X161223000Y-94174000D01* +X161223000Y-115249000D01* +G36* +X140785000Y-96178022D02* +X140729648Y-96188437D01* +X140516247Y-96325757D01* +X140469784Y-96393758D01* +X140321698Y-96245673D01* +X140088309Y-96149000D01* +X139497750Y-96149000D01* +X139339000Y-96307750D01* +X139339000Y-97282000D01* +X139359000Y-97282000D01* +X139359000Y-97536000D01* +X139339000Y-97536000D01* +X139339000Y-98510250D01* +X139444250Y-98615500D01* +X139339000Y-98720750D01* +X139339000Y-99695000D01* +X139359000Y-99695000D01* +X139359000Y-99949000D01* +X139339000Y-99949000D01* +X139339000Y-100923250D01* +X139444250Y-101028500D01* +X139339000Y-101133750D01* +X139339000Y-102108000D01* +X139359000Y-102108000D01* +X139359000Y-102362000D01* +X139339000Y-102362000D01* +X139339000Y-103336250D01* +X139497750Y-103495000D01* +X140088309Y-103495000D01* +X140321698Y-103398327D01* +X140468721Y-103251305D01* +X140503757Y-103305753D01* +X140713283Y-103448917D01* +X140962000Y-103499283D01* +X142462000Y-103499283D01* +X142694352Y-103455563D01* +X142907753Y-103318243D01* +X143050917Y-103108717D01* +X143101283Y-102860000D01* +X143101283Y-101610000D01* +X143057563Y-101377648D01* +X142920243Y-101164247D01* +X142718970Y-101026722D01* +X142907753Y-100905243D01* +X143014510Y-100749000D01* +X146685000Y-100749000D01* +X147039748Y-100678436D01* +X147340488Y-100477488D01* +X147594488Y-100223488D01* +X147795436Y-99922747D01* +X147866000Y-99568000D01* +X147829893Y-99386481D01* +X147944588Y-99364899D01* +X148065000Y-99389283D01* +X148465000Y-99389283D01* +X148594588Y-99364899D01* +X148715000Y-99389283D01* +X149115000Y-99389283D01* +X149244588Y-99364899D01* +X149365000Y-99389283D01* +X149765000Y-99389283D01* +X149894588Y-99364899D01* +X149948767Y-99375871D01* +X149938000Y-99430000D01* +X150008564Y-99784747D01* +X150209512Y-100085488D01* +X150638001Y-100513977D01* +X150638001Y-103815797D01* +X150572837Y-103828059D01* +X150541310Y-103815000D01* +X150473750Y-103815000D01* +X150434699Y-103854051D01* +X150432648Y-103854437D01* +X150219247Y-103991757D01* +X150214701Y-103998410D01* +X150013717Y-103861083D01* +X149999442Y-103858192D01* +X149956250Y-103815000D01* +X149888690Y-103815000D01* +X149855020Y-103828946D01* +X149765000Y-103810717D01* +X149365000Y-103810717D01* +X149235412Y-103835101D01* +X149115000Y-103810717D01* +X148715000Y-103810717D01* +X148585412Y-103835101D01* +X148465000Y-103810717D01* +X148065000Y-103810717D01* +X147935412Y-103835101D01* +X147815000Y-103810717D01* +X147415000Y-103810717D01* +X147285412Y-103835101D01* +X147165000Y-103810717D01* +X146765000Y-103810717D01* +X146635412Y-103835101D01* +X146515000Y-103810717D01* +X146115000Y-103810717D01* +X145882648Y-103854437D01* +X145669247Y-103991757D01* +X145526083Y-104201283D01* +X145475717Y-104450000D01* +X145475717Y-105650000D01* +X145515962Y-105863886D01* +X145447564Y-105966252D01* +X145377000Y-106321000D01* +X145377000Y-107119707D01* +X145355488Y-107087512D01* +X144546488Y-106278512D01* +X144487230Y-106238917D01* +X144245748Y-106077564D01* +X143891000Y-106007000D01* +X143244283Y-106007000D01* +X143244283Y-105934000D01* +X143200563Y-105701648D01* +X143063243Y-105488247D01* +X142853717Y-105345083D01* +X142605000Y-105294717D01* +X140605000Y-105294717D01* +X140372648Y-105338437D01* +X140159247Y-105475757D01* +X140057244Y-105625042D01* +X139992000Y-105559683D01* +X139992000Y-105156000D01* +X139921436Y-104801252D01* +X139720488Y-104500512D01* +X138714976Y-103495000D01* +X138926250Y-103495000D01* +X139085000Y-103336250D01* +X139085000Y-102362000D01* +X139065000Y-102362000D01* +X139065000Y-102108000D01* +X139085000Y-102108000D01* +X139085000Y-101133750D01* +X138979750Y-101028500D01* +X139085000Y-100923250D01* +X139085000Y-99949000D01* +X139065000Y-99949000D01* +X139065000Y-99695000D01* +X139085000Y-99695000D01* +X139085000Y-98720750D01* +X138979750Y-98615500D01* +X139085000Y-98510250D01* +X139085000Y-97536000D01* +X139065000Y-97536000D01* +X139065000Y-97282000D01* +X139085000Y-97282000D01* +X139085000Y-96307750D01* +X138926250Y-96149000D01* +X138468000Y-96149000D01* +X138468000Y-95252976D01* +X138686976Y-95034000D01* +X140785000Y-95034000D01* +X140785000Y-96178022D01* +X140785000Y-96178022D01* +G37* +X140785000Y-96178022D02* +X140729648Y-96188437D01* +X140516247Y-96325757D01* +X140469784Y-96393758D01* +X140321698Y-96245673D01* +X140088309Y-96149000D01* +X139497750Y-96149000D01* +X139339000Y-96307750D01* +X139339000Y-97282000D01* +X139359000Y-97282000D01* +X139359000Y-97536000D01* +X139339000Y-97536000D01* +X139339000Y-98510250D01* +X139444250Y-98615500D01* +X139339000Y-98720750D01* +X139339000Y-99695000D01* +X139359000Y-99695000D01* +X139359000Y-99949000D01* +X139339000Y-99949000D01* +X139339000Y-100923250D01* +X139444250Y-101028500D01* +X139339000Y-101133750D01* +X139339000Y-102108000D01* +X139359000Y-102108000D01* +X139359000Y-102362000D01* +X139339000Y-102362000D01* +X139339000Y-103336250D01* +X139497750Y-103495000D01* +X140088309Y-103495000D01* +X140321698Y-103398327D01* +X140468721Y-103251305D01* +X140503757Y-103305753D01* +X140713283Y-103448917D01* +X140962000Y-103499283D01* +X142462000Y-103499283D01* +X142694352Y-103455563D01* +X142907753Y-103318243D01* +X143050917Y-103108717D01* +X143101283Y-102860000D01* +X143101283Y-101610000D01* +X143057563Y-101377648D01* +X142920243Y-101164247D01* +X142718970Y-101026722D01* +X142907753Y-100905243D01* +X143014510Y-100749000D01* +X146685000Y-100749000D01* +X147039748Y-100678436D01* +X147340488Y-100477488D01* +X147594488Y-100223488D01* +X147795436Y-99922747D01* +X147866000Y-99568000D01* +X147829893Y-99386481D01* +X147944588Y-99364899D01* +X148065000Y-99389283D01* +X148465000Y-99389283D01* +X148594588Y-99364899D01* +X148715000Y-99389283D01* +X149115000Y-99389283D01* +X149244588Y-99364899D01* +X149365000Y-99389283D01* +X149765000Y-99389283D01* +X149894588Y-99364899D01* +X149948767Y-99375871D01* +X149938000Y-99430000D01* +X150008564Y-99784747D01* +X150209512Y-100085488D01* +X150638001Y-100513977D01* +X150638001Y-103815797D01* +X150572837Y-103828059D01* +X150541310Y-103815000D01* +X150473750Y-103815000D01* +X150434699Y-103854051D01* +X150432648Y-103854437D01* +X150219247Y-103991757D01* +X150214701Y-103998410D01* +X150013717Y-103861083D01* +X149999442Y-103858192D01* +X149956250Y-103815000D01* +X149888690Y-103815000D01* +X149855020Y-103828946D01* +X149765000Y-103810717D01* +X149365000Y-103810717D01* +X149235412Y-103835101D01* +X149115000Y-103810717D01* +X148715000Y-103810717D01* +X148585412Y-103835101D01* +X148465000Y-103810717D01* +X148065000Y-103810717D01* +X147935412Y-103835101D01* +X147815000Y-103810717D01* +X147415000Y-103810717D01* +X147285412Y-103835101D01* +X147165000Y-103810717D01* +X146765000Y-103810717D01* +X146635412Y-103835101D01* +X146515000Y-103810717D01* +X146115000Y-103810717D01* +X145882648Y-103854437D01* +X145669247Y-103991757D01* +X145526083Y-104201283D01* +X145475717Y-104450000D01* +X145475717Y-105650000D01* +X145515962Y-105863886D01* +X145447564Y-105966252D01* +X145377000Y-106321000D01* +X145377000Y-107119707D01* +X145355488Y-107087512D01* +X144546488Y-106278512D01* +X144487230Y-106238917D01* +X144245748Y-106077564D01* +X143891000Y-106007000D01* +X143244283Y-106007000D01* +X143244283Y-105934000D01* +X143200563Y-105701648D01* +X143063243Y-105488247D01* +X142853717Y-105345083D01* +X142605000Y-105294717D01* +X140605000Y-105294717D01* +X140372648Y-105338437D01* +X140159247Y-105475757D01* +X140057244Y-105625042D01* +X139992000Y-105559683D01* +X139992000Y-105156000D01* +X139921436Y-104801252D01* +X139720488Y-104500512D01* +X138714976Y-103495000D01* +X138926250Y-103495000D01* +X139085000Y-103336250D01* +X139085000Y-102362000D01* +X139065000Y-102362000D01* +X139065000Y-102108000D01* +X139085000Y-102108000D01* +X139085000Y-101133750D01* +X138979750Y-101028500D01* +X139085000Y-100923250D01* +X139085000Y-99949000D01* +X139065000Y-99949000D01* +X139065000Y-99695000D01* +X139085000Y-99695000D01* +X139085000Y-98720750D01* +X138979750Y-98615500D01* +X139085000Y-98510250D01* +X139085000Y-97536000D01* +X139065000Y-97536000D01* +X139065000Y-97282000D01* +X139085000Y-97282000D01* +X139085000Y-96307750D01* +X138926250Y-96149000D01* +X138468000Y-96149000D01* +X138468000Y-95252976D01* +X138686976Y-95034000D01* +X140785000Y-95034000D01* +X140785000Y-96178022D01* +M02* diff --git a/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-F_SilkS.gbr b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-F_SilkS.gbr new file mode 100644 index 0000000..404bea7 --- /dev/null +++ b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder-F_SilkS.gbr @@ -0,0 +1,436 @@ +G04 #@! TF.FileFunction,Legend,Top* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:16:07 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,0.150000*% +G04 APERTURE END LIST* +D10* +D11* +X139962000Y-98259000D02* +X140962000Y-98259000D01* +X140962000Y-96559000D02* +X139962000Y-96559000D01* +X145315000Y-104375000D02* +X145890000Y-104375000D01* +X145315000Y-98825000D02* +X145890000Y-98825000D01* +X151865000Y-98825000D02* +X151290000Y-98825000D01* +X151865000Y-104375000D02* +X151290000Y-104375000D01* +X145315000Y-104375000D02* +X145315000Y-98825000D01* +X151865000Y-104375000D02* +X151865000Y-98825000D01* +X145890000Y-104375000D02* +X145890000Y-105650000D01* +X151519000Y-113118000D02* +X152519000Y-113118000D01* +X152519000Y-111418000D02* +X151519000Y-111418000D01* +X139962000Y-103085000D02* +X140962000Y-103085000D01* +X140962000Y-101385000D02* +X139962000Y-101385000D01* +X139962000Y-100672000D02* +X140962000Y-100672000D01* +X140962000Y-98972000D02* +X139962000Y-98972000D01* +X160655000Y-114300000D02* +X160655000Y-96520000D01* +X155575000Y-99060000D02* +X155575000Y-114300000D01* +X160655000Y-114300000D02* +X155575000Y-114300000D01* +X160655000Y-96520000D02* +X158115000Y-96520000D01* +X156845000Y-96240000D02* +X155295000Y-96240000D01* +X158115000Y-96520000D02* +X158115000Y-99060000D01* +X158115000Y-99060000D02* +X155575000Y-99060000D01* +X155295000Y-96240000D02* +X155295000Y-97790000D01* +X146650000Y-110730000D02* +X145450000Y-110730000D01* +X145450000Y-108980000D02* +X146650000Y-108980000D01* +X146650000Y-113143000D02* +X145450000Y-113143000D01* +X145450000Y-111393000D02* +X146650000Y-111393000D01* +X151546000Y-108980000D02* +X152746000Y-108980000D01* +X152746000Y-110730000D02* +X151546000Y-110730000D01* +D10* +X158571400Y-97790000D02* +X158571400Y-97790000D01* +X160198600Y-97790000D02* +X160198600Y-97790000D01* +X158571400Y-97790000D02* +G75* +G03X160198600Y-97790000I813600J0D01* +G01* +X160198600Y-97790000D02* +G75* +G03X158571400Y-97790000I-813600J0D01* +G01* +X156031400Y-100330000D02* +X156031400Y-100330000D01* +X157658600Y-100330000D02* +X157658600Y-100330000D01* +X156031400Y-100330000D02* +G75* +G03X157658600Y-100330000I813600J0D01* +G01* +X157658600Y-100330000D02* +G75* +G03X156031400Y-100330000I-813600J0D01* +G01* +X158571400Y-100330000D02* +X158571400Y-100330000D01* +X160198600Y-100330000D02* +X160198600Y-100330000D01* +X158571400Y-100330000D02* +G75* +G03X160198600Y-100330000I813600J0D01* +G01* +X160198600Y-100330000D02* +G75* +G03X158571400Y-100330000I-813600J0D01* +G01* +X156031400Y-102870000D02* +X156031400Y-102870000D01* +X157658600Y-102870000D02* +X157658600Y-102870000D01* +X156031400Y-102870000D02* +G75* +G03X157658600Y-102870000I813600J0D01* +G01* +X157658600Y-102870000D02* +G75* +G03X156031400Y-102870000I-813600J0D01* +G01* +X158571400Y-102870000D02* +X158571400Y-102870000D01* +X160198600Y-102870000D02* +X160198600Y-102870000D01* +X158571400Y-102870000D02* +G75* +G03X160198600Y-102870000I813600J0D01* +G01* +X160198600Y-102870000D02* +G75* +G03X158571400Y-102870000I-813600J0D01* +G01* +X156031400Y-105410000D02* +X156031400Y-105410000D01* +X157658600Y-105410000D02* +X157658600Y-105410000D01* +X156031400Y-105410000D02* +G75* +G03X157658600Y-105410000I813600J0D01* +G01* +X157658600Y-105410000D02* +G75* +G03X156031400Y-105410000I-813600J0D01* +G01* +X158571400Y-105410000D02* +X158571400Y-105410000D01* +X160198600Y-105410000D02* +X160198600Y-105410000D01* +X158571400Y-105410000D02* +G75* +G03X160198600Y-105410000I813600J0D01* +G01* +X160198600Y-105410000D02* +G75* +G03X158571400Y-105410000I-813600J0D01* +G01* +X156031400Y-107950000D02* +X156031400Y-107950000D01* +X157658600Y-107950000D02* +X157658600Y-107950000D01* +X156031400Y-107950000D02* +G75* +G03X157658600Y-107950000I813600J0D01* +G01* +X157658600Y-107950000D02* +G75* +G03X156031400Y-107950000I-813600J0D01* +G01* +X158571400Y-107950000D02* +X158571400Y-107950000D01* +X160198600Y-107950000D02* +X160198600Y-107950000D01* +X158571400Y-107950000D02* +G75* +G03X160198600Y-107950000I813600J0D01* +G01* +X160198600Y-107950000D02* +G75* +G03X158571400Y-107950000I-813600J0D01* +G01* +X156031400Y-110490000D02* +X156031400Y-110490000D01* +X157658600Y-110490000D02* +X157658600Y-110490000D01* +X156031400Y-110490000D02* +G75* +G03X157658600Y-110490000I813600J0D01* +G01* +X157658600Y-110490000D02* +G75* +G03X156031400Y-110490000I-813600J0D01* +G01* +X158571400Y-110490000D02* +X158571400Y-110490000D01* +X160198600Y-110490000D02* +X160198600Y-110490000D01* +X158571400Y-110490000D02* +G75* +G03X160198600Y-110490000I813600J0D01* +G01* +X160198600Y-110490000D02* +G75* +G03X158571400Y-110490000I-813600J0D01* +G01* +X156031400Y-113030000D02* +X156031400Y-113030000D01* +X157658600Y-113030000D02* +X157658600Y-113030000D01* +X156031400Y-113030000D02* +G75* +G03X157658600Y-113030000I813600J0D01* +G01* +X157658600Y-113030000D02* +G75* +G03X156031400Y-113030000I-813600J0D01* +G01* +X158571400Y-113030000D02* +X158571400Y-113030000D01* +X160198600Y-113030000D02* +X160198600Y-113030000D01* +X158571400Y-113030000D02* +G75* +G03X160198600Y-113030000I813600J0D01* +G01* +X160198600Y-113030000D02* +G75* +G03X158571400Y-113030000I-813600J0D01* +G01* +D11* +X143597334Y-97385143D02* +X143549715Y-97432762D01* +X143406858Y-97480381D01* +X143311620Y-97480381D01* +X143168762Y-97432762D01* +X143073524Y-97337524D01* +X143025905Y-97242286D01* +X142978286Y-97051810D01* +X142978286Y-96908952D01* +X143025905Y-96718476D01* +X143073524Y-96623238D01* +X143168762Y-96528000D01* +X143311620Y-96480381D01* +X143406858Y-96480381D01* +X143549715Y-96528000D01* +X143597334Y-96575619D01* +X144454477Y-96813714D02* +X144454477Y-97480381D01* +X144216381Y-96432762D02* +X143978286Y-97147048D01* +X144597334Y-97147048D01* +X152360381Y-105663905D02* +X153169905Y-105663905D01* +X153265143Y-105616286D01* +X153312762Y-105568667D01* +X153360381Y-105473429D01* +X153360381Y-105282952D01* +X153312762Y-105187714D01* +X153265143Y-105140095D01* +X153169905Y-105092476D01* +X152360381Y-105092476D01* +X153360381Y-104092476D02* +X153360381Y-104663905D01* +X153360381Y-104378191D02* +X152360381Y-104378191D01* +X152503238Y-104473429D01* +X152598476Y-104568667D01* +X152646095Y-104663905D01* +X150455334Y-114403143D02* +X150407715Y-114450762D01* +X150264858Y-114498381D01* +X150169620Y-114498381D01* +X150026762Y-114450762D01* +X149931524Y-114355524D01* +X149883905Y-114260286D01* +X149836286Y-114069810D01* +X149836286Y-113926952D01* +X149883905Y-113736476D01* +X149931524Y-113641238D01* +X150026762Y-113546000D01* +X150169620Y-113498381D01* +X150264858Y-113498381D01* +X150407715Y-113546000D01* +X150455334Y-113593619D01* +X151407715Y-114498381D02* +X150836286Y-114498381D01* +X151122000Y-114498381D02* +X151122000Y-113498381D01* +X151026762Y-113641238D01* +X150931524Y-113736476D01* +X150836286Y-113784095D01* +X143597334Y-102211143D02* +X143549715Y-102258762D01* +X143406858Y-102306381D01* +X143311620Y-102306381D01* +X143168762Y-102258762D01* +X143073524Y-102163524D01* +X143025905Y-102068286D01* +X142978286Y-101877810D01* +X142978286Y-101734952D01* +X143025905Y-101544476D01* +X143073524Y-101449238D01* +X143168762Y-101354000D01* +X143311620Y-101306381D01* +X143406858Y-101306381D01* +X143549715Y-101354000D01* +X143597334Y-101401619D01* +X143978286Y-101401619D02* +X144025905Y-101354000D01* +X144121143Y-101306381D01* +X144359239Y-101306381D01* +X144454477Y-101354000D01* +X144502096Y-101401619D01* +X144549715Y-101496857D01* +X144549715Y-101592095D01* +X144502096Y-101734952D01* +X143930667Y-102306381D01* +X144549715Y-102306381D01* +X143597334Y-99798143D02* +X143549715Y-99845762D01* +X143406858Y-99893381D01* +X143311620Y-99893381D01* +X143168762Y-99845762D01* +X143073524Y-99750524D01* +X143025905Y-99655286D01* +X142978286Y-99464810D01* +X142978286Y-99321952D01* +X143025905Y-99131476D01* +X143073524Y-99036238D01* +X143168762Y-98941000D01* +X143311620Y-98893381D01* +X143406858Y-98893381D01* +X143549715Y-98941000D01* +X143597334Y-98988619D01* +X143930667Y-98893381D02* +X144549715Y-98893381D01* +X144216381Y-99274333D01* +X144359239Y-99274333D01* +X144454477Y-99321952D01* +X144502096Y-99369571D01* +X144549715Y-99464810D01* +X144549715Y-99702905D01* +X144502096Y-99798143D01* +X144454477Y-99845762D01* +X144359239Y-99893381D01* +X144073524Y-99893381D01* +X143978286Y-99845762D01* +X143930667Y-99798143D01* +X159154905Y-95448381D02* +X159154905Y-94448381D01* +X159535858Y-94448381D01* +X159631096Y-94496000D01* +X159678715Y-94543619D01* +X159726334Y-94638857D01* +X159726334Y-94781714D01* +X159678715Y-94876952D01* +X159631096Y-94924571D01* +X159535858Y-94972190D01* +X159154905Y-94972190D01* +X160678715Y-95448381D02* +X160107286Y-95448381D01* +X160393000Y-95448381D02* +X160393000Y-94448381D01* +X160297762Y-94591238D01* +X160202524Y-94686476D01* +X160107286Y-94734095D01* +X144232334Y-108529381D02* +X143899000Y-108053190D01* +X143660905Y-108529381D02* +X143660905Y-107529381D01* +X144041858Y-107529381D01* +X144137096Y-107577000D01* +X144184715Y-107624619D01* +X144232334Y-107719857D01* +X144232334Y-107862714D01* +X144184715Y-107957952D01* +X144137096Y-108005571D01* +X144041858Y-108053190D01* +X143660905Y-108053190D01* +X145184715Y-108529381D02* +X144613286Y-108529381D01* +X144899000Y-108529381D02* +X144899000Y-107529381D01* +X144803762Y-107672238D01* +X144708524Y-107767476D01* +X144613286Y-107815095D01* +X144232334Y-114498381D02* +X143899000Y-114022190D01* +X143660905Y-114498381D02* +X143660905Y-113498381D01* +X144041858Y-113498381D01* +X144137096Y-113546000D01* +X144184715Y-113593619D01* +X144232334Y-113688857D01* +X144232334Y-113831714D01* +X144184715Y-113926952D01* +X144137096Y-113974571D01* +X144041858Y-114022190D01* +X143660905Y-114022190D01* +X144613286Y-113593619D02* +X144660905Y-113546000D01* +X144756143Y-113498381D01* +X144994239Y-113498381D01* +X145089477Y-113546000D01* +X145137096Y-113593619D01* +X145184715Y-113688857D01* +X145184715Y-113784095D01* +X145137096Y-113926952D01* +X144565667Y-114498381D01* +X145184715Y-114498381D01* +X150328334Y-108529381D02* +X149995000Y-108053190D01* +X149756905Y-108529381D02* +X149756905Y-107529381D01* +X150137858Y-107529381D01* +X150233096Y-107577000D01* +X150280715Y-107624619D01* +X150328334Y-107719857D01* +X150328334Y-107862714D01* +X150280715Y-107957952D01* +X150233096Y-108005571D01* +X150137858Y-108053190D01* +X149756905Y-108053190D01* +X150661667Y-107529381D02* +X151280715Y-107529381D01* +X150947381Y-107910333D01* +X151090239Y-107910333D01* +X151185477Y-107957952D01* +X151233096Y-108005571D01* +X151280715Y-108100810D01* +X151280715Y-108338905D01* +X151233096Y-108434143D01* +X151185477Y-108481762D01* +X151090239Y-108529381D01* +X150804524Y-108529381D01* +X150709286Y-108481762D01* +X150661667Y-108434143D01* +M02* diff --git a/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder.drl b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder.drl new file mode 100644 index 0000000..218d8a5 --- /dev/null +++ b/PCB/SatNOGS_Encoder/Gerber/SatNOGS_Encoder.drl @@ -0,0 +1,37 @@ +M48 +;DRILL file {KiCad 0.201509101502+6177~30~ubuntu14.04.1-product} date Παρ 18 Σεπ 2015 07:16:03 μμ EEST +;FORMAT={-:-/ absolute / metric / decimal} +FMAT,2 +METRIC,TZ +T1C0.800 +T2C1.001 +T3C1.016 +% +G90 +G05 +M71 +T1 +X143.961Y-103.29 +X153.289Y-114.427 +T2 +X139.065Y-106.934 +X139.065Y-111.887 +X141.605Y-106.934 +X141.605Y-111.887 +T3 +X156.845Y-97.79 +X156.845Y-100.33 +X156.845Y-102.87 +X156.845Y-105.41 +X156.845Y-107.95 +X156.845Y-110.49 +X156.845Y-113.03 +X159.385Y-97.79 +X159.385Y-100.33 +X159.385Y-102.87 +X159.385Y-105.41 +X159.385Y-107.95 +X159.385Y-110.49 +X159.385Y-113.03 +T0 +M30 diff --git a/PCB/SatNOGS_Encoder/SatNOGS_Encoder-cache.lib b/PCB/SatNOGS_Encoder/SatNOGS_Encoder-cache.lib new file mode 100644 index 0000000..f2133d1 --- /dev/null +++ b/PCB/SatNOGS_Encoder/SatNOGS_Encoder-cache.lib @@ -0,0 +1,185 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# +5V +# +DEF +5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "+5V" 0 140 50 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# AS5040 +# +DEF AS5040 U 0 40 Y Y 1 F N +F0 "U" -375 370 60 H V C CNN +F1 "AS5040" 210 -435 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -425 315 370 -370 0 1 0 f +X MagINCn 1 -625 260 200 R 50 50 1 1 O +X MagDECn 2 -625 180 200 R 50 50 1 1 O +X A_LSB_U 3 -625 100 200 R 50 50 1 1 I +X B_DIR_V 4 -625 15 200 R 50 50 1 1 I +X NC 5 -625 -70 200 R 50 50 1 1 N +X Index_W 6 -625 -150 200 R 50 50 1 1 I +X VSS 7 -625 -230 200 R 50 50 1 1 W +X Prog 8 -625 -310 200 R 50 50 1 1 I +X DO 9 570 -310 200 L 50 50 1 1 O +X CLK 10 570 -230 200 L 50 50 1 1 I +X CSn 11 570 -150 200 L 50 50 1 1 I +X PWM_LSB 12 570 -70 200 L 50 50 1 1 O +X NC 13 570 15 200 L 50 50 1 1 N +X NC 14 570 100 200 L 50 50 1 1 N +X VDD3V3 15 570 180 200 L 50 50 1 1 w +X VDD5V 16 570 260 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# C +# +DEF C C 0 10 N Y 1 F N +F0 "C" 25 100 50 H V L CNN +F1 "C" 25 -100 50 H V L CNN +F2 "" 38 -150 30 H V C CNN +F3 "" 0 0 60 H V C CNN +$FPLIST + C? + C_????_* + C_???? + SMD*_c + Capacitor* +$ENDFPLIST +DRAW +P 2 0 1 20 -80 -30 80 -30 N +P 2 0 1 20 -80 30 80 30 N +X ~ 1 0 150 110 D 40 40 1 1 P +X ~ 2 0 -150 110 U 40 40 1 1 P +ENDDRAW +ENDDEF +# +# CONN_02X07 +# +DEF CONN_02X07 P 0 1 Y N 1 F N +F0 "P" 0 400 50 H V C CNN +F1 "CONN_02X07" 0 0 50 V V C CNN +F2 "" 0 -1200 60 H V C CNN +F3 "" 0 -1200 60 H V C CNN +$FPLIST + Pin_Header_Straight_2X07 + Pin_Header_Angled_2X07 + Socket_Strip_Straight_2X07 + Socket_Strip_Angled_2X07 +$ENDFPLIST +DRAW +S -100 -295 -50 -305 0 1 0 N +S -100 -195 -50 -205 0 1 0 N +S -100 -95 -50 -105 0 1 0 N +S -100 5 -50 -5 0 1 0 N +S -100 105 -50 95 0 1 0 N +S -100 205 -50 195 0 1 0 N +S -100 305 -50 295 0 1 0 N +S -100 350 100 -350 0 1 0 N +S 50 -295 100 -305 0 1 0 N +S 50 -195 100 -205 0 1 0 N +S 50 -95 100 -105 0 1 0 N +S 50 5 100 -5 0 1 0 N +S 50 105 100 95 0 1 0 N +S 50 205 100 195 0 1 0 N +S 50 305 100 295 0 1 0 N +X P1 1 -250 300 150 R 50 50 1 1 P +X P2 2 250 300 150 L 50 50 1 1 P +X P3 3 -250 200 150 R 50 50 1 1 P +X P4 4 250 200 150 L 50 50 1 1 P +X P5 5 -250 100 150 R 50 50 1 1 P +X P6 6 250 100 150 L 50 50 1 1 P +X P7 7 -250 0 150 R 50 50 1 1 P +X P8 8 250 0 150 L 50 50 1 1 P +X P9 9 -250 -100 150 R 50 50 1 1 P +X P10 10 250 -100 150 L 50 50 1 1 P +X P11 11 -250 -200 150 R 50 50 1 1 P +X P12 12 250 -200 150 L 50 50 1 1 P +X P13 13 -250 -300 150 R 50 50 1 1 P +X P14 14 250 -300 150 L 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GND +# +DEF GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "GND" 0 -150 50 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# LED +# +DEF LED D 0 40 Y N 1 F N +F0 "D" 0 100 50 H V C CNN +F1 "LED" 0 -100 50 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +$FPLIST + LED-3MM + LED-5MM + LED-10MM + LED-0603 + LED-0805 + LED-1206 + LEDV +$ENDFPLIST +DRAW +P 2 0 1 0 -50 50 -50 -50 N +P 3 0 1 0 -80 -25 -125 -65 -120 -40 N +P 3 0 1 0 -65 -40 -110 -80 -105 -55 N +P 3 0 1 0 50 50 -50 0 50 -50 F +X K 1 -200 0 150 R 40 40 1 1 P +X A 2 200 0 150 L 40 40 1 1 P +ENDDRAW +ENDDEF +# +# PWR_FLAG +# +DEF PWR_FLAG #FLG 0 0 N N 1 F P +F0 "#FLG" 0 95 50 H I C CNN +F1 "PWR_FLAG" 0 180 50 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +X pwr 1 0 0 0 U 20 20 0 0 w +P 6 0 1 0 0 0 0 50 -75 100 0 150 75 100 0 50 N +ENDDRAW +ENDDEF +# +# R +# +DEF R R 0 0 N Y 1 F N +F0 "R" 80 0 50 V V C CNN +F1 "R" 0 0 50 V V C CNN +F2 "" -70 0 30 V V C CNN +F3 "" 0 0 30 H V C CNN +$FPLIST + R_* + Resistor_* +$ENDFPLIST +DRAW +S -40 -100 40 100 0 1 10 N +X ~ 1 0 150 50 D 60 60 1 1 P +X ~ 2 0 -150 50 U 60 60 1 1 P +ENDDRAW +ENDDEF +# +#End Library diff --git a/PCB/SatNOGS_Encoder/SatNOGS_Encoder.kicad_pcb b/PCB/SatNOGS_Encoder/SatNOGS_Encoder.kicad_pcb new file mode 100644 index 0000000..e050864 --- /dev/null +++ b/PCB/SatNOGS_Encoder/SatNOGS_Encoder.kicad_pcb @@ -0,0 +1,878 @@ +(kicad_pcb (version 4) (host pcbnew 0.201509101502+6177~30~ubuntu14.04.1-product) + + (general + (links 29) + (no_connects 0) + (area 136.830999 93.396999 162.000001 116.227002) + (thickness 1.6) + (drawings 6) + (tracks 114) + (zones 0) + (modules 12) + (nets 20) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.6) + (user_trace_width 0.3) + (user_trace_width 0.6) + (trace_clearance 0.2) + (zone_clearance 0.5) + (zone_45_only no) + (trace_min 0.2) + (segment_width 0.2) + (edge_width 0.15) + (via_size 0.6) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (user_via 1.6 0.8) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0.2) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x01030_80000001) + (usegerberextensions false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 2) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk true) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory Gerber/)) + ) + + (net 0 "") + (net 1 "Net-(U1-Pad3)") + (net 2 "Net-(U1-Pad4)") + (net 3 "Net-(U1-Pad5)") + (net 4 "Net-(U1-Pad6)") + (net 5 "Net-(U1-Pad13)") + (net 6 "Net-(U1-Pad14)") + (net 7 "Net-(C2-Pad1)") + (net 8 GND) + (net 9 /Prog) + (net 10 +5V) + (net 11 "Net-(D1-Pad1)") + (net 12 "Net-(D2-Pad1)") + (net 13 /MagINCn) + (net 14 /MagDECn) + (net 15 /DI) + (net 16 /DO) + (net 17 /CLK) + (net 18 /CS) + (net 19 /PWM) + + (net_class Default "This is the default net class." + (clearance 0.2) + (trace_width 0.6) + (via_dia 0.6) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +5V) + (add_net /CLK) + (add_net /CS) + (add_net /DI) + (add_net /DO) + (add_net /MagDECn) + (add_net /MagINCn) + (add_net /PWM) + (add_net /Prog) + (add_net GND) + (add_net "Net-(C2-Pad1)") + (add_net "Net-(D1-Pad1)") + (add_net "Net-(D2-Pad1)") + (add_net "Net-(U1-Pad13)") + (add_net "Net-(U1-Pad14)") + (add_net "Net-(U1-Pad3)") + (add_net "Net-(U1-Pad4)") + (add_net "Net-(U1-Pad5)") + (add_net "Net-(U1-Pad6)") + ) + + (module Capacitors_SMD:C_0805_HandSoldering (layer F.Cu) (tedit 55FC0A30) (tstamp 55FB4187) + (at 140.462 97.409 180) + (descr "Capacitor SMD 0805, hand soldering") + (tags "capacitor 0805") + (path /55FB7E85) + (attr smd) + (fp_text reference C4 (at -3.302 0.381 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value C (at 0 2.1 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.3 -1) (end 2.3 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.3 1) (end 2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.3 -1) (end -2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.3 -1) (end 2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.25 0 180) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask) + (net 10 +5V)) + (pad 2 smd rect (at 1.25 0 180) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask) + (net 8 GND)) + (model Capacitors_SMD.3dshapes/C_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Housings_SSOP:SSOP-16_5.3x6.2mm_Pitch0.65mm (layer F.Cu) (tedit 55FC0A69) (tstamp 55FAD088) + (at 148.59 101.6 90) + (descr "SSOP16: plastic shrink small outline package; 16 leads; body width 5.3 mm; (see NXP SSOP-TSSOP-VSO-REFLOW.pdf and sot338-1_po.pdf)") + (tags "SSOP 0.65") + (path /55FACFC8) + (attr smd) + (fp_text reference U1 (at -3.302 4.318 90) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value AS5040 (at 0 4.2 90) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -4.3 -3.45) (end -4.3 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.3 -3.45) (end 4.3 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.3 -3.45) (end 4.3 -3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.3 3.45) (end 4.3 3.45) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.775 -3.275) (end -2.775 -2.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.775 -3.275) (end 2.775 -2.7) (layer F.SilkS) (width 0.15)) + (fp_line (start 2.775 3.275) (end 2.775 2.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.775 3.275) (end -2.775 2.7) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.775 -3.275) (end 2.775 -3.275) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.775 3.275) (end 2.775 3.275) (layer F.SilkS) (width 0.15)) + (fp_line (start -2.775 -2.7) (end -4.05 -2.7) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -3.45 -2.275 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 13 /MagINCn)) + (pad 2 smd rect (at -3.45 -1.625 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 14 /MagDECn)) + (pad 3 smd rect (at -3.45 -0.975 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 1 "Net-(U1-Pad3)")) + (pad 4 smd rect (at -3.45 -0.325 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 2 "Net-(U1-Pad4)")) + (pad 5 smd rect (at -3.45 0.325 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 3 "Net-(U1-Pad5)")) + (pad 6 smd rect (at -3.45 0.975 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 4 "Net-(U1-Pad6)")) + (pad 7 smd rect (at -3.45 1.625 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 8 GND)) + (pad 8 smd rect (at -3.45 2.275 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 9 /Prog)) + (pad 9 smd rect (at 3.45 2.275 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 16 /DO)) + (pad 10 smd rect (at 3.45 1.625 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 17 /CLK)) + (pad 11 smd rect (at 3.45 0.975 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 18 /CS)) + (pad 12 smd rect (at 3.45 0.325 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 19 /PWM)) + (pad 13 smd rect (at 3.45 -0.325 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 5 "Net-(U1-Pad13)")) + (pad 14 smd rect (at 3.45 -0.975 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 6 "Net-(U1-Pad14)")) + (pad 15 smd rect (at 3.45 -1.625 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 7 "Net-(C2-Pad1)")) + (pad 16 smd rect (at 3.45 -2.275 90) (size 1.2 0.4) (layers F.Cu F.Paste F.Mask) + (net 10 +5V)) + (model Housings_SSOP.3dshapes/SSOP-16_5.3x6.2mm_Pitch0.65mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0805_HandSoldering (layer F.Cu) (tedit 55FC1817) (tstamp 55FB4175) + (at 152.019 112.268 180) + (descr "Capacitor SMD 0805, hand soldering") + (tags "capacitor 0805") + (path /55FAED95) + (attr smd) + (fp_text reference C1 (at 1.397 -1.778 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value C (at 0 2.1 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.3 -1) (end 2.3 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.3 1) (end 2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.3 -1) (end -2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.3 -1) (end 2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.25 0 180) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask) + (net 8 GND)) + (pad 2 smd rect (at 1.25 0 180) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask) + (net 9 /Prog)) + (model Capacitors_SMD.3dshapes/C_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0805_HandSoldering (layer F.Cu) (tedit 55FC0A34) (tstamp 55FB417B) + (at 140.462 102.235 180) + (descr "Capacitor SMD 0805, hand soldering") + (tags "capacitor 0805") + (path /55FB84C5) + (attr smd) + (fp_text reference C2 (at -3.302 0.381 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value C (at 0 2.1 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.3 -1) (end 2.3 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.3 1) (end 2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.3 -1) (end -2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.3 -1) (end 2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.25 0 180) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask) + (net 7 "Net-(C2-Pad1)")) + (pad 2 smd rect (at 1.25 0 180) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask) + (net 8 GND)) + (model Capacitors_SMD.3dshapes/C_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Capacitors_SMD:C_0805_HandSoldering (layer F.Cu) (tedit 55FC0A2D) (tstamp 55FB4181) + (at 140.462 99.822 180) + (descr "Capacitor SMD 0805, hand soldering") + (tags "capacitor 0805") + (path /55FB8594) + (attr smd) + (fp_text reference C3 (at -3.302 0.381 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value C (at 0 2.1 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.3 -1) (end 2.3 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.3 1) (end 2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.3 -1) (end -2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.3 -1) (end 2.3 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.25 0 180) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask) + (net 7 "Net-(C2-Pad1)")) + (pad 2 smd rect (at 1.25 0 180) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask) + (net 8 GND)) + (model Capacitors_SMD.3dshapes/C_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Pin_Headers:Pin_Header_Straight_2x07 (layer F.Cu) (tedit 55FC0A6C) (tstamp 55FB41A5) + (at 156.845 97.79) + (descr "Through hole pin header") + (tags "pin header") + (path /55FBB05D) + (fp_text reference P1 (at 3.048 -2.794) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value CONN_02X07 (at 0 -3.1) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.75 -1.75) (end -1.75 17) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.3 -1.75) (end 4.3 17) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.75 -1.75) (end 4.3 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.75 17) (end 4.3 17) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.81 16.51) (end 3.81 -1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.27 1.27) (end -1.27 16.51) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.81 16.51) (end -1.27 16.51) (layer F.SilkS) (width 0.15)) + (fp_line (start 3.81 -1.27) (end 1.27 -1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start 0 -1.55) (end -1.55 -1.55) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.27 -1.27) (end 1.27 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.27 1.27) (end -1.27 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start -1.55 -1.55) (end -1.55 0) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole rect (at 0 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 10 +5V)) + (pad 2 thru_hole oval (at 2.54 0) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 10 +5V)) + (pad 3 thru_hole oval (at 0 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 19 /PWM)) + (pad 4 thru_hole oval (at 2.54 2.54) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 19 /PWM)) + (pad 5 thru_hole oval (at 0 5.08) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 18 /CS)) + (pad 6 thru_hole oval (at 2.54 5.08) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 18 /CS)) + (pad 7 thru_hole oval (at 0 7.62) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 17 /CLK)) + (pad 8 thru_hole oval (at 2.54 7.62) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 17 /CLK)) + (pad 9 thru_hole oval (at 0 10.16) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 16 /DO)) + (pad 10 thru_hole oval (at 2.54 10.16) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 16 /DO)) + (pad 11 thru_hole oval (at 0 12.7) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 15 /DI)) + (pad 12 thru_hole oval (at 2.54 12.7) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 15 /DI)) + (pad 13 thru_hole oval (at 0 15.24) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 8 GND)) + (pad 14 thru_hole oval (at 2.54 15.24) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 8 GND)) + (model Pin_Headers.3dshapes/Pin_Header_Straight_2x07.wrl + (at (xyz 0.05 -0.3 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Resistors_SMD:R_0805_HandSoldering (layer F.Cu) (tedit 55FC0A46) (tstamp 55FB41AB) + (at 146.05 109.855) + (descr "Resistor SMD 0805, hand soldering") + (tags "resistor 0805") + (path /55FAE1DA) + (attr smd) + (fp_text reference R1 (at -1.651 -1.778) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value R (at 0 2.1) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.4 -1) (end 2.4 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 1) (end 2.4 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 -1) (end -2.4 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.4 -1) (end 2.4 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.6 0.875) (end -0.6 0.875) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.6 -0.875) (end 0.6 -0.875) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.35 0) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask) + (net 11 "Net-(D1-Pad1)")) + (pad 2 smd rect (at 1.35 0) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask) + (net 13 /MagINCn)) + (model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0805_HandSoldering (layer F.Cu) (tedit 55FC0A48) (tstamp 55FB41B1) + (at 146.05 112.268) + (descr "Resistor SMD 0805, hand soldering") + (tags "resistor 0805") + (path /55FADD67) + (attr smd) + (fp_text reference R2 (at -1.651 1.778) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value R (at 0 2.1) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.4 -1) (end 2.4 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 1) (end 2.4 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 -1) (end -2.4 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.4 -1) (end 2.4 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.6 0.875) (end -0.6 0.875) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.6 -0.875) (end 0.6 -0.875) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.35 0) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask) + (net 12 "Net-(D2-Pad1)")) + (pad 2 smd rect (at 1.35 0) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask) + (net 14 /MagDECn)) + (model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0805_HandSoldering (layer F.Cu) (tedit 55FC0A4C) (tstamp 55FB41B7) + (at 152.146 109.855 180) + (descr "Resistor SMD 0805, hand soldering") + (tags "resistor 0805") + (path /55FAED06) + (attr smd) + (fp_text reference R3 (at 1.651 1.778 180) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value R (at 0 2.1 180) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -2.4 -1) (end 2.4 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 1) (end 2.4 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -2.4 -1) (end -2.4 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 2.4 -1) (end 2.4 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0.6 0.875) (end -0.6 0.875) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.6 -0.875) (end 0.6 -0.875) (layer F.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.35 0 180) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask) + (net 15 /DI)) + (pad 2 smd rect (at 1.35 0 180) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask) + (net 9 /Prog)) + (model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Symbols:Symbol_OSHW-Logo_SilkScreen (layer B.Cu) (tedit 55FC226B) (tstamp 55FB5446) + (at 148.971 98.806 180) + (descr "Symbol, OSHW-Logo, Silk Screen,") + (tags "Symbol, OSHW-Logo, Silk Screen,") + (fp_text reference "©2015 Libre Space Foundation" (at 0.508 4.064 180) (layer B.SilkS) + (effects (font (size 1 0.8) (thickness 0.15)) (justify mirror)) + ) + (fp_text value "SatNOGS Encoder" (at 0.30988 -6.56082 180) (layer B.SilkS) + (effects (font (size 1 0.8) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.66878 -2.68986) (end 2.02946 -4.16052) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.02946 -4.16052) (end 2.30886 -3.0988) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.30886 -3.0988) (end 2.61874 -4.17068) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.61874 -4.17068) (end 2.9591 -2.72034) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.24892 -3.38074) (end 1.03886 -3.37058) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.03886 -3.37058) (end 1.04902 -3.38074) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.04902 -3.38074) (end 1.04902 -3.37058) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.08966 -2.65938) (end 1.08966 -4.20116) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.20066 -2.64922) (end 0.20066 -4.21894) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.20066 -4.21894) (end 0.21082 -4.20878) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.35052 -2.75082) (end -0.70104 -2.66954) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.70104 -2.66954) (end -1.02108 -2.65938) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.02108 -2.65938) (end -1.25984 -2.86004) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.25984 -2.86004) (end -1.29032 -3.12928) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.29032 -3.12928) (end -1.04902 -3.37058) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.04902 -3.37058) (end -0.6604 -3.50012) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.6604 -3.50012) (end -0.48006 -3.66014) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.48006 -3.66014) (end -0.43942 -3.95986) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.43942 -3.95986) (end -0.67056 -4.18084) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.67056 -4.18084) (end -0.9906 -4.20878) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.9906 -4.20878) (end -1.34112 -4.09956) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.37998 -2.64922) (end -2.6289 -2.66954) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.6289 -2.66954) (end -2.8702 -2.91084) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.8702 -2.91084) (end -2.9591 -3.40106) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.9591 -3.40106) (end -2.93116 -3.74904) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.93116 -3.74904) (end -2.7305 -4.06908) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.7305 -4.06908) (end -2.47904 -4.191) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.47904 -4.191) (end -2.16916 -4.11988) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.16916 -4.11988) (end -1.95072 -3.93954) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.95072 -3.93954) (end -1.8796 -3.4798) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.8796 -3.4798) (end -1.9304 -3.07086) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.9304 -3.07086) (end -2.03962 -2.78892) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.03962 -2.78892) (end -2.4003 -2.65938) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.78054 -0.92964) (end -2.03962 -1.49098) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.03962 -1.49098) (end -1.50114 -2.00914) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.50114 -2.00914) (end -0.98044 -1.7399) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.98044 -1.7399) (end -0.70104 -1.89992) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.73914 -1.8796) (end 1.06934 -1.6891) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.06934 -1.6891) (end 1.50876 -2.0193) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.50876 -2.0193) (end 1.9812 -1.52908) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.9812 -1.52908) (end 1.69926 -1.04902) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.69926 -1.04902) (end 1.88976 -0.57912) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.88976 -0.57912) (end 2.49936 -0.39116) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.49936 -0.39116) (end 2.49936 0.28956) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.49936 0.28956) (end 1.94056 0.42926) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.94056 0.42926) (end 1.7399 1.00076) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.7399 1.00076) (end 2.00914 1.47066) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.00914 1.47066) (end 1.53924 1.9812) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.53924 1.9812) (end 1.02108 1.71958) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.02108 1.71958) (end 0.55118 1.92024) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.55118 1.92024) (end 0.381 2.46126) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.381 2.46126) (end -0.30988 2.47904) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.30988 2.47904) (end -0.5207 1.9304) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.5207 1.9304) (end -0.9398 1.76022) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.9398 1.76022) (end -1.49098 2.02946) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.49098 2.02946) (end -2.00914 1.50114) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.00914 1.50114) (end -1.76022 0.96012) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.76022 0.96012) (end -1.9304 0.48006) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.9304 0.48006) (end -2.47904 0.381) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.47904 0.381) (end -2.4892 -0.32004) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.4892 -0.32004) (end -1.9304 -0.5207) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.9304 -0.5207) (end -1.7907 -0.91948) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.35052 -0.89916) (end 0.65024 -0.7493) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.65024 -0.7493) (end 0.8509 -0.55118) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.8509 -0.55118) (end 1.00076 -0.14986) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.00076 -0.14986) (end 1.00076 0.24892) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.00076 0.24892) (end 0.8509 0.59944) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.8509 0.59944) (end 0.39878 0.94996) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.39878 0.94996) (end -0.0508 1.00076) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.0508 1.00076) (end -0.44958 0.89916) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.44958 0.89916) (end -0.8509 0.55118) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.8509 0.55118) (end -1.00076 0.09906) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.00076 0.09906) (end -0.94996 -0.39878) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.94996 -0.39878) (end -0.70104 -0.70104) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.70104 -0.70104) (end -0.35052 -0.89916) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.35052 -0.89916) (end -0.70104 -1.89992) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.35052 -0.89916) (end 0.7493 -1.89992) (layer B.SilkS) (width 0.15)) + ) + + (module LEDs:LED-3MM (layer B.Cu) (tedit 55FC0A99) (tstamp 55FC040B) + (at 141.605 106.934 180) + (descr "LED 3mm round vertical") + (tags "LED 3mm round vertical") + (path /55FCA0B4) + (fp_text reference D1 (at 3.048 -8.001 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value LED (at 1.3 2.9 180) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.2 -2.3) (end 3.8 -2.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.8 -2.3) (end 3.8 2.2) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.8 2.2) (end -1.2 2.2) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.2 2.2) (end -1.2 -2.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.199 -1.314) (end -0.199 -1.114) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.199 1.28) (end -0.199 1.1) (layer B.SilkS) (width 0.15)) + (fp_arc (start 1.301 -0.034) (end -0.199 1.286) (angle -108.5) (layer B.SilkS) (width 0.15)) + (fp_arc (start 1.301 -0.034) (end 0.25 1.1) (angle -85.7) (layer B.SilkS) (width 0.15)) + (fp_arc (start 1.311 -0.034) (end 3.051 -0.994) (angle -110) (layer B.SilkS) (width 0.15)) + (fp_arc (start 1.301 -0.034) (end 2.335 -1.094) (angle -87.5) (layer B.SilkS) (width 0.15)) + (fp_text user K (at -1.69 -1.74 180) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad 1 thru_hole rect (at 0 0 90) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask B.SilkS) + (net 11 "Net-(D1-Pad1)")) + (pad 2 thru_hole circle (at 2.54 0 180) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask B.SilkS) + (net 10 +5V)) + (model LEDs.3dshapes/LED-3MM.wrl + (at (xyz 0.05 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module LEDs:LED-3MM (layer B.Cu) (tedit 55FC0A96) (tstamp 55FC0411) + (at 141.605 111.887 180) + (descr "LED 3mm round vertical") + (tags "LED 3mm round vertical") + (path /55FCA19F) + (fp_text reference D2 (at 2.921 7.874 180) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value LED (at 1.3 2.9 180) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -1.2 -2.3) (end 3.8 -2.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.8 -2.3) (end 3.8 2.2) (layer B.CrtYd) (width 0.05)) + (fp_line (start 3.8 2.2) (end -1.2 2.2) (layer B.CrtYd) (width 0.05)) + (fp_line (start -1.2 2.2) (end -1.2 -2.3) (layer B.CrtYd) (width 0.05)) + (fp_line (start -0.199 -1.314) (end -0.199 -1.114) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.199 1.28) (end -0.199 1.1) (layer B.SilkS) (width 0.15)) + (fp_arc (start 1.301 -0.034) (end -0.199 1.286) (angle -108.5) (layer B.SilkS) (width 0.15)) + (fp_arc (start 1.301 -0.034) (end 0.25 1.1) (angle -85.7) (layer B.SilkS) (width 0.15)) + (fp_arc (start 1.311 -0.034) (end 3.051 -0.994) (angle -110) (layer B.SilkS) (width 0.15)) + (fp_arc (start 1.301 -0.034) (end 2.335 -1.094) (angle -87.5) (layer B.SilkS) (width 0.15)) + (fp_text user K (at -1.69 -1.74 180) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad 1 thru_hole rect (at 0 0 90) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask B.SilkS) + (net 12 "Net-(D2-Pad1)")) + (pad 2 thru_hole circle (at 2.54 0 180) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask B.SilkS) + (net 10 +5V)) + (model LEDs.3dshapes/LED-3MM.wrl + (at (xyz 0.05 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (gr_line (start 136.906 93.98) (end 136.906 93.472) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 161.925 115.443) (end 161.925 115.951) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 136.906 115.951) (end 136.906 93.98) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 161.925 115.443) (end 161.925 93.472) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 136.906 93.472) (end 161.925 93.472) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 136.906 115.951) (end 161.925 115.951) (layer Edge.Cuts) (width 0.15)) + + (segment (start 146.965 98.15) (end 146.965 99.542) (width 0.3) (layer F.Cu) (net 7)) + (segment (start 146.965 99.542) (end 146.939 99.568) (width 0.3) (layer F.Cu) (net 7)) + (segment (start 146.685 99.822) (end 146.939 99.568) (width 0.6) (layer F.Cu) (net 7)) + (segment (start 141.712 99.822) (end 146.685 99.822) (width 0.6) (layer F.Cu) (net 7)) + (segment (start 141.712 102.235) (end 141.712 99.822) (width 0.6) (layer F.Cu) (net 7)) + (segment (start 150.215 105.05) (end 150.215 103.785) (width 0.3) (layer F.Cu) (net 8)) + (segment (start 150.215 103.785) (end 150.241 103.759) (width 0.3) (layer F.Cu) (net 8)) + (via (at 143.961183 103.289815) (size 1.6) (drill 0.8) (layers F.Cu B.Cu) (net 8)) + (segment (start 143.961183 103.289815) (end 143.891 103.359998) (width 0.6) (layer B.Cu) (net 8)) + (segment (start 143.891 103.359998) (end 143.891 113.157) (width 0.6) (layer B.Cu) (net 8)) + (segment (start 143.891 113.157) (end 145.161 114.427) (width 0.6) (layer B.Cu) (net 8)) + (segment (start 145.161 114.427) (end 153.289 114.427) (width 0.6) (layer B.Cu) (net 8)) + (segment (start 143.961183 103.289815) (end 143.364998 103.886) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 143.364998 103.886) (end 139.954 103.886) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 139.954 103.886) (end 139.212 103.144) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 139.212 103.144) (end 139.212 102.235) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 143.961183 103.289815) (end 143.999998 103.251) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 143.999998 103.251) (end 149.733 103.251) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 149.733 103.251) (end 150.241 103.759) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 153.269 112.268) (end 153.269 114.407) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 153.269 114.407) (end 153.289 114.427) (width 0.6) (layer F.Cu) (net 8)) + (via (at 153.289 114.427) (size 1.6) (drill 0.8) (layers F.Cu B.Cu) (net 8)) + (segment (start 155.508 113.03) (end 156.845 113.03) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 153.396 112.268) (end 154.746 112.268) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 154.746 112.268) (end 155.508 113.03) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 139.212 99.822) (end 139.212 102.235) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 139.212 97.409) (end 139.212 99.822) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 156.845 113.03) (end 159.385 113.03) (width 0.6) (layer F.Cu) (net 8)) + (segment (start 150.769 112.268) (end 150.769 109.882) (width 0.6) (layer F.Cu) (net 9)) + (segment (start 150.769 109.882) (end 150.796 109.855) (width 0.6) (layer F.Cu) (net 9)) + (segment (start 150.896 109.955) (end 150.796 109.855) (width 0.6) (layer F.Cu) (net 9)) + (segment (start 150.865 106.542) (end 150.865 109.786) (width 0.6) (layer F.Cu) (net 9)) + (segment (start 150.865 109.786) (end 150.796 109.855) (width 0.6) (layer F.Cu) (net 9)) + (segment (start 150.865 105.05) (end 150.865 106.542) (width 0.3) (layer F.Cu) (net 9)) + (segment (start 150.896 110.009) (end 150.923 109.982) (width 0.6) (layer F.Cu) (net 9)) + (segment (start 146.315 96.658) (end 146.315 94.184244) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 146.315 98.15) (end 146.315 96.658) (width 0.3) (layer F.Cu) (net 10)) + (segment (start 145.848729 94.107) (end 146.392244 94.107) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 146.392244 94.107) (end 146.939 94.107) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 146.315 94.184244) (end 146.392244 94.107) (width 0.3) (layer F.Cu) (net 10)) + (segment (start 141.712 97.409) (end 141.712 94.18186) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 141.712 94.18186) (end 141.78686 94.107) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 138.303 94.107) (end 141.78686 94.107) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 141.78686 94.107) (end 145.848729 94.107) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 156.845 97.79) (end 159.385 97.79) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 145.923 94.181271) (end 145.848729 94.107) (width 0.3) (layer F.Cu) (net 10)) + (segment (start 139.065 106.934) (end 139.065 105.156) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 139.065 105.156) (end 137.541 103.632) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 137.541 103.632) (end 137.541 94.869) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 137.541 94.869) (end 138.303 94.107) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 155.067 94.107) (end 146.939 94.107) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 156.845 95.885) (end 155.067 94.107) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 156.845 97.79) (end 156.845 95.885) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 139.065 111.887) (end 139.065 106.934) (width 0.6) (layer F.Cu) (net 10)) + (segment (start 143.891 106.934) (end 144.7 107.743) (width 0.6) (layer F.Cu) (net 11)) + (segment (start 144.7 107.743) (end 144.7 109.855) (width 0.6) (layer F.Cu) (net 11)) + (segment (start 141.605 106.934) (end 143.891 106.934) (width 0.6) (layer F.Cu) (net 11)) + (segment (start 143.586 112.268) (end 144.7 112.268) (width 0.6) (layer F.Cu) (net 12)) + (segment (start 141.605 111.887) (end 143.205 111.887) (width 0.6) (layer F.Cu) (net 12)) + (segment (start 143.205 111.887) (end 143.586 112.268) (width 0.6) (layer F.Cu) (net 12)) + (segment (start 141.605 111.887) (end 142.367 111.887) (width 0.6) (layer F.Cu) (net 12)) + (segment (start 146.304 107.188) (end 146.304 106.321) (width 0.6) (layer F.Cu) (net 13)) + (segment (start 146.304 106.321) (end 146.315 106.31) (width 0.6) (layer F.Cu) (net 13)) + (segment (start 147.4 108.284) (end 146.304 107.188) (width 0.6) (layer F.Cu) (net 13)) + (segment (start 147.4 109.855) (end 147.4 108.284) (width 0.6) (layer F.Cu) (net 13)) + (segment (start 146.315 105.05) (end 146.315 106.31) (width 0.3) (layer F.Cu) (net 13)) + (segment (start 147.4 112.268) (end 148.75 112.268) (width 0.6) (layer F.Cu) (net 14)) + (segment (start 148.75 112.268) (end 148.971 112.047) (width 0.6) (layer F.Cu) (net 14)) + (segment (start 148.971 112.047) (end 148.971 108.204) (width 0.6) (layer F.Cu) (net 14)) + (segment (start 148.971 108.204) (end 148.209 107.442) (width 0.6) (layer F.Cu) (net 14)) + (segment (start 146.965 106.198) (end 147.32 106.553) (width 0.3) (layer F.Cu) (net 14)) + (segment (start 148.209 107.442) (end 147.32 106.553) (width 0.6) (layer F.Cu) (net 14)) + (segment (start 146.965 106.198) (end 147.066 106.299) (width 0.3) (layer F.Cu) (net 14)) + (segment (start 146.965 105.05) (end 146.965 106.198) (width 0.3) (layer F.Cu) (net 14)) + (segment (start 154.686 109.855) (end 153.496 109.855) (width 0.6) (layer F.Cu) (net 15)) + (segment (start 155.321 110.49) (end 154.686 109.855) (width 0.6) (layer F.Cu) (net 15)) + (segment (start 155.321 110.49) (end 156.845 110.49) (width 0.6) (layer F.Cu) (net 15)) + (segment (start 156.845 110.49) (end 159.385 110.49) (width 0.6) (layer F.Cu) (net 15)) + (segment (start 151.566762 100.216183) (end 151.566762 100.131762) (width 0.6) (layer F.Cu) (net 16)) + (segment (start 151.566762 100.131762) (end 150.865 99.43) (width 0.6) (layer F.Cu) (net 16)) + (segment (start 151.566762 100.216183) (end 151.565001 100.217944) (width 0.6) (layer F.Cu) (net 16)) + (segment (start 151.565001 100.217944) (end 151.565001 104.067001) (width 0.6) (layer F.Cu) (net 16)) + (segment (start 151.565001 104.067001) (end 155.448 107.95) (width 0.6) (layer F.Cu) (net 16)) + (segment (start 155.448 107.95) (end 156.845 107.95) (width 0.6) (layer F.Cu) (net 16)) + (segment (start 150.865 99.05) (end 150.865 99.43) (width 0.3) (layer F.Cu) (net 16)) + (segment (start 150.865 98.15) (end 150.865 99.05) (width 0.3) (layer F.Cu) (net 16)) + (segment (start 156.845 107.95) (end 159.385 107.95) (width 0.6) (layer F.Cu) (net 16)) + (segment (start 150.495 96.647) (end 152.273 96.647) (width 0.6) (layer F.Cu) (net 17)) + (segment (start 152.527 102.87) (end 155.067 105.41) (width 0.6) (layer F.Cu) (net 17)) + (segment (start 152.273 96.647) (end 152.527 96.901) (width 0.6) (layer F.Cu) (net 17)) + (segment (start 152.527 96.901) (end 152.527 102.87) (width 0.6) (layer F.Cu) (net 17)) + (segment (start 155.067 105.41) (end 155.623686 105.41) (width 0.6) (layer F.Cu) (net 17)) + (segment (start 155.623686 105.41) (end 156.845 105.41) (width 0.6) (layer F.Cu) (net 17)) + (segment (start 150.215 98.15) (end 150.215 96.927) (width 0.3) (layer F.Cu) (net 17)) + (segment (start 150.215 96.927) (end 150.495 96.647) (width 0.3) (layer F.Cu) (net 17)) + (segment (start 156.845 105.41) (end 159.385 105.41) (width 0.6) (layer F.Cu) (net 17)) + (segment (start 149.733 96.139) (end 150.02501 95.84699) (width 0.3) (layer F.Cu) (net 18)) + (segment (start 153.12399 95.84699) (end 153.543 96.266) (width 0.6) (layer F.Cu) (net 18)) + (segment (start 150.02501 95.84699) (end 153.12399 95.84699) (width 0.6) (layer F.Cu) (net 18)) + (segment (start 153.543 96.266) (end 153.543 100.789314) (width 0.6) (layer F.Cu) (net 18)) + (segment (start 153.543 100.789314) (end 155.623686 102.87) (width 0.6) (layer F.Cu) (net 18)) + (segment (start 155.623686 102.87) (end 156.845 102.87) (width 0.6) (layer F.Cu) (net 18)) + (segment (start 149.565 98.15) (end 149.565 96.307) (width 0.3) (layer F.Cu) (net 18)) + (segment (start 149.565 96.307) (end 149.733 96.139) (width 0.3) (layer F.Cu) (net 18)) + (segment (start 156.845 102.87) (end 159.385 102.87) (width 0.6) (layer F.Cu) (net 18)) + (segment (start 148.915 96.449) (end 148.915 95.687) (width 0.3) (layer F.Cu) (net 19)) + (segment (start 149.606 94.996) (end 148.915 95.687) (width 0.6) (layer F.Cu) (net 19)) + (segment (start 154.051 94.996) (end 149.606 94.996) (width 0.6) (layer F.Cu) (net 19)) + (segment (start 154.559 95.504) (end 154.051 94.996) (width 0.6) (layer F.Cu) (net 19)) + (segment (start 154.559 99.695) (end 154.559 95.504) (width 0.6) (layer F.Cu) (net 19)) + (segment (start 155.194 100.33) (end 154.559 99.695) (width 0.6) (layer F.Cu) (net 19)) + (segment (start 156.845 100.33) (end 155.194 100.33) (width 0.6) (layer F.Cu) (net 19)) + (segment (start 148.915 98.15) (end 148.915 96.449) (width 0.3) (layer F.Cu) (net 19)) + (segment (start 156.845 100.33) (end 159.385 100.33) (width 0.6) (layer F.Cu) (net 19)) + + (zone (net 8) (net_name GND) (layer B.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.8)) + (min_thickness 0.254) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 136.906 93.472) (xy 161.925 93.472) (xy 161.925 115.951) (xy 136.906 115.951) + ) + ) + (filled_polygon + (pts + (xy 160.923 96.878213) (xy 160.686225 96.523855) (xy 160.105313 96.135701) (xy 159.42008 95.9994) (xy 159.34992 95.9994) + (xy 158.664687 96.135701) (xy 158.410615 96.305467) (xy 158.386099 96.267367) (xy 158.076321 96.055704) (xy 157.7086 95.981239) + (xy 155.9814 95.981239) (xy 155.637874 96.045878) (xy 155.322367 96.248901) (xy 155.110704 96.558679) (xy 155.036239 96.9264) + (xy 155.036239 98.6536) (xy 155.100878 98.997126) (xy 155.303901 99.312633) (xy 155.354463 99.34718) (xy 155.155621 99.644767) + (xy 155.01932 100.33) (xy 155.155621 101.015233) (xy 155.543775 101.596145) (xy 155.549544 101.6) (xy 155.543775 101.603855) + (xy 155.155621 102.184767) (xy 155.01932 102.87) (xy 155.155621 103.555233) (xy 155.543775 104.136145) (xy 155.549544 104.14) + (xy 155.543775 104.143855) (xy 155.155621 104.724767) (xy 155.01932 105.41) (xy 155.155621 106.095233) (xy 155.543775 106.676145) + (xy 155.549544 106.68) (xy 155.543775 106.683855) (xy 155.155621 107.264767) (xy 155.01932 107.95) (xy 155.155621 108.635233) + (xy 155.543775 109.216145) (xy 155.549544 109.22) (xy 155.543775 109.223855) (xy 155.155621 109.804767) (xy 155.01932 110.49) + (xy 155.155621 111.175233) (xy 155.543775 111.756145) (xy 155.838156 111.952845) (xy 155.562312 112.255053) (xy 155.390042 112.670974) + (xy 155.511183 112.903) (xy 156.718 112.903) (xy 156.718 112.883) (xy 156.972 112.883) (xy 156.972 112.903) + (xy 159.258 112.903) (xy 159.258 112.883) (xy 159.512 112.883) (xy 159.512 112.903) (xy 160.718817 112.903) + (xy 160.839958 112.670974) (xy 160.667688 112.255053) (xy 160.391844 111.952845) (xy 160.686225 111.756145) (xy 160.923 111.401787) + (xy 160.923 114.949) (xy 137.908 114.949) (xy 137.908 113.455549) (xy 137.972017 113.519678) (xy 138.680014 113.813665) + (xy 139.446622 113.814334) (xy 139.990983 113.589409) (xy 140.237279 113.757696) (xy 140.605 113.832161) (xy 142.605 113.832161) + (xy 142.948526 113.767522) (xy 143.264033 113.564499) (xy 143.383928 113.389026) (xy 155.390042 113.389026) (xy 155.562312 113.804947) + (xy 155.95651 114.236821) (xy 156.485973 114.484968) (xy 156.718 114.364469) (xy 156.718 113.157) (xy 156.972 113.157) + (xy 156.972 114.364469) (xy 157.204027 114.484968) (xy 157.73349 114.236821) (xy 158.115 113.818848) (xy 158.49651 114.236821) + (xy 159.025973 114.484968) (xy 159.258 114.364469) (xy 159.258 113.157) (xy 159.512 113.157) (xy 159.512 114.364469) + (xy 159.744027 114.484968) (xy 160.27349 114.236821) (xy 160.667688 113.804947) (xy 160.839958 113.389026) (xy 160.718817 113.157) + (xy 159.512 113.157) (xy 159.258 113.157) (xy 156.972 113.157) (xy 156.718 113.157) (xy 155.511183 113.157) + (xy 155.390042 113.389026) (xy 143.383928 113.389026) (xy 143.475696 113.254721) (xy 143.550161 112.887) (xy 143.550161 110.887) + (xy 143.485522 110.543474) (xy 143.282499 110.227967) (xy 142.972721 110.016304) (xy 142.605 109.941839) (xy 140.605 109.941839) + (xy 140.261474 110.006478) (xy 139.986786 110.183235) (xy 139.449986 109.960335) (xy 138.683378 109.959666) (xy 137.974868 110.252416) + (xy 137.908 110.319168) (xy 137.908 108.502549) (xy 137.972017 108.566678) (xy 138.680014 108.860665) (xy 139.446622 108.861334) + (xy 139.990983 108.636409) (xy 140.237279 108.804696) (xy 140.605 108.879161) (xy 142.605 108.879161) (xy 142.948526 108.814522) + (xy 143.264033 108.611499) (xy 143.475696 108.301721) (xy 143.550161 107.934) (xy 143.550161 105.934) (xy 143.485522 105.590474) + (xy 143.282499 105.274967) (xy 142.972721 105.063304) (xy 142.605 104.988839) (xy 140.605 104.988839) (xy 140.261474 105.053478) + (xy 139.986786 105.230235) (xy 139.449986 105.007335) (xy 138.683378 105.006666) (xy 137.974868 105.299416) (xy 137.908 105.366168) + (xy 137.908 94.474) (xy 160.923 94.474) + ) + ) + ) + (zone (net 8) (net_name GND) (layer F.Cu) (tstamp 0) (hatch edge 0.508) + (connect_pads (clearance 0.5)) + (min_thickness 0.254) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508)) + (polygon + (pts + (xy 136.906 93.472) (xy 161.925 93.472) (xy 161.925 115.951) (xy 136.906 115.951) + ) + ) + (filled_polygon + (pts + (xy 161.223 115.249) (xy 137.608 115.249) (xy 137.608 112.621325) (xy 137.684892 112.807418) (xy 138.142175 113.265499) + (xy 138.73995 113.513717) (xy 139.387211 113.514282) (xy 139.985418 113.267108) (xy 140.057934 113.194718) (xy 140.146757 113.332753) + (xy 140.356283 113.475917) (xy 140.605 113.526283) (xy 142.605 113.526283) (xy 142.837352 113.482563) (xy 143.050753 113.345243) + (xy 143.193917 113.135717) (xy 143.200379 113.103807) (xy 143.231252 113.124436) (xy 143.354161 113.148884) (xy 143.354437 113.150352) + (xy 143.491757 113.363753) (xy 143.701283 113.506917) (xy 143.95 113.557283) (xy 145.45 113.557283) (xy 145.682352 113.513563) + (xy 145.895753 113.376243) (xy 146.038917 113.166717) (xy 146.048558 113.119108) (xy 146.054437 113.150352) (xy 146.191757 113.363753) + (xy 146.401283 113.506917) (xy 146.65 113.557283) (xy 148.15 113.557283) (xy 148.382352 113.513563) (xy 148.595753 113.376243) + (xy 148.719592 113.195) (xy 148.75 113.195) (xy 149.104748 113.124436) (xy 149.387691 112.935379) (xy 149.423437 113.125352) + (xy 149.560757 113.338753) (xy 149.770283 113.481917) (xy 150.019 113.532283) (xy 151.519 113.532283) (xy 151.751352 113.488563) + (xy 151.964753 113.351243) (xy 152.011216 113.283242) (xy 152.159302 113.431327) (xy 152.392691 113.528) (xy 152.98325 113.528) + (xy 153.142 113.36925) (xy 153.142 112.395) (xy 153.396 112.395) (xy 153.396 113.36925) (xy 153.55475 113.528) + (xy 154.145309 113.528) (xy 154.378698 113.431327) (xy 154.420999 113.389026) (xy 155.390042 113.389026) (xy 155.562312 113.804947) + (xy 155.95651 114.236821) (xy 156.485973 114.484968) (xy 156.718 114.364469) (xy 156.718 113.157) (xy 156.972 113.157) + (xy 156.972 114.364469) (xy 157.204027 114.484968) (xy 157.73349 114.236821) (xy 158.115 113.818848) (xy 158.49651 114.236821) + (xy 159.025973 114.484968) (xy 159.258 114.364469) (xy 159.258 113.157) (xy 159.512 113.157) (xy 159.512 114.364469) + (xy 159.744027 114.484968) (xy 160.27349 114.236821) (xy 160.667688 113.804947) (xy 160.839958 113.389026) (xy 160.718817 113.157) + (xy 159.512 113.157) (xy 159.258 113.157) (xy 156.972 113.157) (xy 156.718 113.157) (xy 155.511183 113.157) + (xy 155.390042 113.389026) (xy 154.420999 113.389026) (xy 154.557327 113.252699) (xy 154.654 113.01931) (xy 154.654 112.55375) + (xy 154.49525 112.395) (xy 153.396 112.395) (xy 153.142 112.395) (xy 153.122 112.395) (xy 153.122 112.141) + (xy 153.142 112.141) (xy 153.142 112.121) (xy 153.396 112.121) (xy 153.396 112.141) (xy 154.49525 112.141) + (xy 154.654 111.98225) (xy 154.654 111.51669) (xy 154.557327 111.283301) (xy 154.391021 111.116996) (xy 154.478352 111.100563) + (xy 154.564897 111.044873) (xy 154.665512 111.145488) (xy 154.966253 111.346437) (xy 155.321 111.417) (xy 155.676916 111.417) + (xy 155.761784 111.544013) (xy 156.087621 111.761731) (xy 155.95651 111.823179) (xy 155.562312 112.255053) (xy 155.390042 112.670974) + (xy 155.511183 112.903) (xy 156.718 112.903) (xy 156.718 112.883) (xy 156.972 112.883) (xy 156.972 112.903) + (xy 159.258 112.903) (xy 159.258 112.883) (xy 159.512 112.883) (xy 159.512 112.903) (xy 160.718817 112.903) + (xy 160.839958 112.670974) (xy 160.667688 112.255053) (xy 160.27349 111.823179) (xy 160.142379 111.761731) (xy 160.468216 111.544013) + (xy 160.791338 111.060428) (xy 160.904803 110.49) (xy 160.791338 109.919572) (xy 160.468216 109.435987) (xy 160.144969 109.22) + (xy 160.468216 109.004013) (xy 160.791338 108.520428) (xy 160.904803 107.95) (xy 160.791338 107.379572) (xy 160.468216 106.895987) + (xy 160.144969 106.68) (xy 160.468216 106.464013) (xy 160.791338 105.980428) (xy 160.904803 105.41) (xy 160.791338 104.839572) + (xy 160.468216 104.355987) (xy 160.144969 104.14) (xy 160.468216 103.924013) (xy 160.791338 103.440428) (xy 160.904803 102.87) + (xy 160.791338 102.299572) (xy 160.468216 101.815987) (xy 160.144969 101.6) (xy 160.468216 101.384013) (xy 160.791338 100.900428) + (xy 160.904803 100.33) (xy 160.791338 99.759572) (xy 160.468216 99.275987) (xy 160.144969 99.06) (xy 160.468216 98.844013) + (xy 160.791338 98.360428) (xy 160.904803 97.79) (xy 160.791338 97.219572) (xy 160.468216 96.735987) (xy 159.984631 96.412865) + (xy 159.414203 96.2994) (xy 159.355797 96.2994) (xy 158.785369 96.412865) (xy 158.310907 96.729891) (xy 158.304163 96.694048) + (xy 158.166843 96.480647) (xy 157.957317 96.337483) (xy 157.772 96.299956) (xy 157.772 95.885) (xy 157.754297 95.796) + (xy 157.701437 95.530253) (xy 157.500488 95.229512) (xy 156.444976 94.174) (xy 161.223 94.174) + ) + ) + (filled_polygon + (pts + (xy 140.785 96.178022) (xy 140.729648 96.188437) (xy 140.516247 96.325757) (xy 140.469784 96.393758) (xy 140.321698 96.245673) + (xy 140.088309 96.149) (xy 139.49775 96.149) (xy 139.339 96.30775) (xy 139.339 97.282) (xy 139.359 97.282) + (xy 139.359 97.536) (xy 139.339 97.536) (xy 139.339 98.51025) (xy 139.44425 98.6155) (xy 139.339 98.72075) + (xy 139.339 99.695) (xy 139.359 99.695) (xy 139.359 99.949) (xy 139.339 99.949) (xy 139.339 100.92325) + (xy 139.44425 101.0285) (xy 139.339 101.13375) (xy 139.339 102.108) (xy 139.359 102.108) (xy 139.359 102.362) + (xy 139.339 102.362) (xy 139.339 103.33625) (xy 139.49775 103.495) (xy 140.088309 103.495) (xy 140.321698 103.398327) + (xy 140.468721 103.251305) (xy 140.503757 103.305753) (xy 140.713283 103.448917) (xy 140.962 103.499283) (xy 142.462 103.499283) + (xy 142.694352 103.455563) (xy 142.907753 103.318243) (xy 143.050917 103.108717) (xy 143.101283 102.86) (xy 143.101283 101.61) + (xy 143.057563 101.377648) (xy 142.920243 101.164247) (xy 142.71897 101.026722) (xy 142.907753 100.905243) (xy 143.01451 100.749) + (xy 146.685 100.749) (xy 147.039748 100.678436) (xy 147.340488 100.477488) (xy 147.594488 100.223488) (xy 147.795436 99.922747) + (xy 147.866 99.568) (xy 147.829893 99.386481) (xy 147.944588 99.364899) (xy 148.065 99.389283) (xy 148.465 99.389283) + (xy 148.594588 99.364899) (xy 148.715 99.389283) (xy 149.115 99.389283) (xy 149.244588 99.364899) (xy 149.365 99.389283) + (xy 149.765 99.389283) (xy 149.894588 99.364899) (xy 149.948767 99.375871) (xy 149.938 99.43) (xy 150.008564 99.784747) + (xy 150.209512 100.085488) (xy 150.638001 100.513977) (xy 150.638001 103.815797) (xy 150.572837 103.828059) (xy 150.54131 103.815) + (xy 150.47375 103.815) (xy 150.434699 103.854051) (xy 150.432648 103.854437) (xy 150.219247 103.991757) (xy 150.214701 103.99841) + (xy 150.013717 103.861083) (xy 149.999442 103.858192) (xy 149.95625 103.815) (xy 149.88869 103.815) (xy 149.85502 103.828946) + (xy 149.765 103.810717) (xy 149.365 103.810717) (xy 149.235412 103.835101) (xy 149.115 103.810717) (xy 148.715 103.810717) + (xy 148.585412 103.835101) (xy 148.465 103.810717) (xy 148.065 103.810717) (xy 147.935412 103.835101) (xy 147.815 103.810717) + (xy 147.415 103.810717) (xy 147.285412 103.835101) (xy 147.165 103.810717) (xy 146.765 103.810717) (xy 146.635412 103.835101) + (xy 146.515 103.810717) (xy 146.115 103.810717) (xy 145.882648 103.854437) (xy 145.669247 103.991757) (xy 145.526083 104.201283) + (xy 145.475717 104.45) (xy 145.475717 105.65) (xy 145.515962 105.863886) (xy 145.447564 105.966252) (xy 145.377 106.321) + (xy 145.377 107.119707) (xy 145.355488 107.087512) (xy 144.546488 106.278512) (xy 144.48723 106.238917) (xy 144.245748 106.077564) + (xy 143.891 106.007) (xy 143.244283 106.007) (xy 143.244283 105.934) (xy 143.200563 105.701648) (xy 143.063243 105.488247) + (xy 142.853717 105.345083) (xy 142.605 105.294717) (xy 140.605 105.294717) (xy 140.372648 105.338437) (xy 140.159247 105.475757) + (xy 140.057244 105.625042) (xy 139.992 105.559683) (xy 139.992 105.156) (xy 139.921436 104.801252) (xy 139.720488 104.500512) + (xy 138.714976 103.495) (xy 138.92625 103.495) (xy 139.085 103.33625) (xy 139.085 102.362) (xy 139.065 102.362) + (xy 139.065 102.108) (xy 139.085 102.108) (xy 139.085 101.13375) (xy 138.97975 101.0285) (xy 139.085 100.92325) + (xy 139.085 99.949) (xy 139.065 99.949) (xy 139.065 99.695) (xy 139.085 99.695) (xy 139.085 98.72075) + (xy 138.97975 98.6155) (xy 139.085 98.51025) (xy 139.085 97.536) (xy 139.065 97.536) (xy 139.065 97.282) + (xy 139.085 97.282) (xy 139.085 96.30775) (xy 138.92625 96.149) (xy 138.468 96.149) (xy 138.468 95.252976) + (xy 138.686976 95.034) (xy 140.785 95.034) + ) + ) + ) +) diff --git a/PCB/SatNOGS_Encoder/SatNOGS_Encoder.net b/PCB/SatNOGS_Encoder/SatNOGS_Encoder.net new file mode 100644 index 0000000..8a629ba --- /dev/null +++ b/PCB/SatNOGS_Encoder/SatNOGS_Encoder.net @@ -0,0 +1,244 @@ +(export (version D) + (design + (source /home/azisi/Documents/projects/SatNOGS/satnogs-rotator-controller/mydev/kicad/SatNOGS_Encoder/SatNOGS_Encoder.sch) + (date "Κυρ 20 Σεπ 2015 07:27:00 πμ EDT") + (tool "Eeschema 0.201509161501+6201~30~ubuntu14.04.1-product") + (sheet (number 1) (name /) (tstamps /) + (title_block + (title) + (company) + (rev) + (date) + (source SatNOGS_Encoder.sch) + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value ""))))) + (components + (comp (ref U1) + (value AS5040) + (footprint Housings_SSOP:SSOP-16_5.3x6.2mm_Pitch0.65mm) + (libsource (lib satnogs) (part AS5040)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FACFC8)) + (comp (ref R2) + (value 560) + (footprint Resistors_SMD:R_0805_HandSoldering) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FADD67)) + (comp (ref R1) + (value 560) + (footprint Resistors_SMD:R_0805_HandSoldering) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FAE1DA)) + (comp (ref R3) + (value 100) + (footprint Resistors_SMD:R_0805_HandSoldering) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FAED06)) + (comp (ref C1) + (value 1n) + (footprint Capacitors_SMD:C_0805_HandSoldering) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FAED95)) + (comp (ref C4) + (value 100n) + (footprint Capacitors_SMD:C_0805_HandSoldering) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FB7E85)) + (comp (ref C2) + (value 1u) + (footprint Capacitors_SMD:C_0805_HandSoldering) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FB84C5)) + (comp (ref C3) + (value 1u) + (footprint Capacitors_SMD:C_0805_HandSoldering) + (libsource (lib device) (part C)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FB8594)) + (comp (ref P1) + (value CONN_02X07) + (footprint Pin_Headers:Pin_Header_Straight_2x07) + (libsource (lib conn) (part CONN_02X07)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FBB05D)) + (comp (ref D1) + (value LED) + (footprint LEDs:LED-3MM) + (libsource (lib device) (part LED)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FCA0B4)) + (comp (ref D2) + (value LED) + (footprint LEDs:LED-3MM) + (libsource (lib device) (part LED)) + (sheetpath (names /) (tstamps /)) + (tstamp 55FCA19F))) + (libparts + (libpart (lib satnogs) (part AS5040) + (fields + (field (name Reference) U) + (field (name Value) AS5040)) + (pins + (pin (num 1) (name MagINCn) (type output)) + (pin (num 2) (name MagDECn) (type output)) + (pin (num 3) (name A_LSB_U) (type input)) + (pin (num 4) (name B_DIR_V) (type input)) + (pin (num 5) (name NC) (type NotConnected)) + (pin (num 6) (name Index_W) (type input)) + (pin (num 7) (name VSS) (type power_in)) + (pin (num 8) (name Prog) (type input)) + (pin (num 9) (name DO) (type output)) + (pin (num 10) (name CLK) (type input)) + (pin (num 11) (name CSn) (type input)) + (pin (num 12) (name PWM_LSB) (type output)) + (pin (num 13) (name NC) (type NotConnected)) + (pin (num 14) (name NC) (type NotConnected)) + (pin (num 15) (name VDD3V3) (type power_out)) + (pin (num 16) (name VDD5V) (type power_in)))) + (libpart (lib device) (part LED) + (footprints + (fp LED-3MM) + (fp LED-5MM) + (fp LED-10MM) + (fp LED-0603) + (fp LED-0805) + (fp LED-1206) + (fp LEDV)) + (fields + (field (name Reference) D) + (field (name Value) LED)) + (pins + (pin (num 1) (name K) (type passive)) + (pin (num 2) (name A) (type passive)))) + (libpart (lib device) (part C) + (description "Unpolarized capacitor") + (footprints + (fp C?) + (fp C_????_*) + (fp C_????) + (fp SMD*_c) + (fp Capacitor*)) + (fields + (field (name Reference) C) + (field (name Value) C)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib device) (part R) + (description Resistor) + (footprints + (fp R_*) + (fp Resistor_*)) + (fields + (field (name Reference) R) + (field (name Value) R)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib conn) (part CONN_02X07) + (footprints + (fp Pin_Header_Straight_2X07) + (fp Pin_Header_Angled_2X07) + (fp Socket_Strip_Straight_2X07) + (fp Socket_Strip_Angled_2X07)) + (fields + (field (name Reference) P) + (field (name Value) CONN_02X07)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name P2) (type passive)) + (pin (num 3) (name P3) (type passive)) + (pin (num 4) (name P4) (type passive)) + (pin (num 5) (name P5) (type passive)) + (pin (num 6) (name P6) (type passive)) + (pin (num 7) (name P7) (type passive)) + (pin (num 8) (name P8) (type passive)) + (pin (num 9) (name P9) (type passive)) + (pin (num 10) (name P10) (type passive)) + (pin (num 11) (name P11) (type passive)) + (pin (num 12) (name P12) (type passive)) + (pin (num 13) (name P13) (type passive)) + (pin (num 14) (name P14) (type passive))))) + (libraries + (library (logical satnogs) + (uri /home/azisi/Documents/projects/SatNOGS/satnogs-rotator-controller/mydev/kicad/library/satnogs.lib)) + (library (logical conn) + (uri /usr/share/kicad/library/conn.lib)) + (library (logical device) + (uri /usr/share/kicad/library/device.lib))) + (nets + (net (code 1) (name /MagINCn) + (node (ref U1) (pin 1)) + (node (ref R1) (pin 2))) + (net (code 2) (name /PWM) + (node (ref P1) (pin 4)) + (node (ref P1) (pin 3)) + (node (ref U1) (pin 12))) + (net (code 3) (name /Prog) + (node (ref R3) (pin 2)) + (node (ref C1) (pin 2)) + (node (ref U1) (pin 8))) + (net (code 4) (name /CS) + (node (ref U1) (pin 11)) + (node (ref P1) (pin 5)) + (node (ref P1) (pin 6))) + (net (code 5) (name /DO) + (node (ref U1) (pin 9)) + (node (ref P1) (pin 10)) + (node (ref P1) (pin 9))) + (net (code 6) (name /CLK) + (node (ref U1) (pin 10)) + (node (ref P1) (pin 8)) + (node (ref P1) (pin 7))) + (net (code 7) (name GND) + (node (ref C1) (pin 1)) + (node (ref U1) (pin 7)) + (node (ref C4) (pin 2)) + (node (ref C2) (pin 2)) + (node (ref C3) (pin 2)) + (node (ref P1) (pin 14)) + (node (ref P1) (pin 13))) + (net (code 8) (name +5V) + (node (ref D1) (pin 2)) + (node (ref U1) (pin 16)) + (node (ref D2) (pin 2)) + (node (ref P1) (pin 1)) + (node (ref P1) (pin 2)) + (node (ref C4) (pin 1))) + (net (code 9) (name "Net-(U1-Pad4)") + (node (ref U1) (pin 4))) + (net (code 10) (name "Net-(D1-Pad1)") + (node (ref D1) (pin 1)) + (node (ref R1) (pin 1))) + (net (code 11) (name /DI) + (node (ref R3) (pin 1)) + (node (ref P1) (pin 12)) + (node (ref P1) (pin 11))) + (net (code 12) (name "Net-(D2-Pad1)") + (node (ref D2) (pin 1)) + (node (ref R2) (pin 1))) + (net (code 13) (name "Net-(U1-Pad3)") + (node (ref U1) (pin 3))) + (net (code 14) (name "Net-(U1-Pad6)") + (node (ref U1) (pin 6))) + (net (code 15) (name /MagDECn) + (node (ref U1) (pin 2)) + (node (ref R2) (pin 2))) + (net (code 16) (name "Net-(U1-Pad5)") + (node (ref U1) (pin 5))) + (net (code 17) (name "Net-(U1-Pad13)") + (node (ref U1) (pin 13))) + (net (code 18) (name "Net-(U1-Pad14)") + (node (ref U1) (pin 14))) + (net (code 19) (name "Net-(C2-Pad1)") + (node (ref C3) (pin 1)) + (node (ref U1) (pin 15)) + (node (ref C2) (pin 1))))) \ No newline at end of file diff --git a/PCB/SatNOGS_Encoder/SatNOGS_Encoder.pro b/PCB/SatNOGS_Encoder/SatNOGS_Encoder.pro new file mode 100644 index 0000000..de2f8cb --- /dev/null +++ b/PCB/SatNOGS_Encoder/SatNOGS_Encoder.pro @@ -0,0 +1,61 @@ +update=Κυρ 20 Σεπ 2015 07:45:46 πμ EDT +version=1 +last_client=kicad +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[general] +version=1 +[eeschema] +version=1 +LibDir=../library +[eeschema/libraries] +LibName1=power +LibName2=device +LibName3=transistors +LibName4=conn +LibName5=linear +LibName6=regul +LibName7=74xx +LibName8=cmos4000 +LibName9=adc-dac +LibName10=memory +LibName11=xilinx +LibName12=microcontrollers +LibName13=dsp +LibName14=microchip +LibName15=analog_switches +LibName16=motorola +LibName17=texas +LibName18=intel +LibName19=audio +LibName20=interface +LibName21=digital-audio +LibName22=philips +LibName23=display +LibName24=cypress +LibName25=siliconi +LibName26=opto +LibName27=atmel +LibName28=contrib +LibName29=valves +LibName30=satnogs diff --git a/PCB/SatNOGS_Encoder/SatNOGS_Encoder.sch b/PCB/SatNOGS_Encoder/SatNOGS_Encoder.sch new file mode 100644 index 0000000..3d111de --- /dev/null +++ b/PCB/SatNOGS_Encoder/SatNOGS_Encoder.sch @@ -0,0 +1,474 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:satnogs +LIBS:SatNOGS_Encoder-cache +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L AS5040 U1 +U 1 1 55FACFC8 +P 6255 2645 +F 0 "U1" H 5880 3015 60 0000 C CNN +F 1 "AS5040" H 6465 2210 60 0000 C CNN +F 2 "Housings_SSOP:SSOP-16_5.3x6.2mm_Pitch0.65mm" H 6255 2645 60 0001 C CNN +F 3 "" H 6255 2645 60 0000 C CNN + 1 6255 2645 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR01 +U 1 1 55FAD3A8 +P 5335 3000 +F 0 "#PWR01" H 5335 2750 50 0001 C CNN +F 1 "GND" H 5335 2850 50 0000 C CNN +F 2 "" H 5335 3000 60 0000 C CNN +F 3 "" H 5335 3000 60 0000 C CNN + 1 5335 3000 + 1 0 0 -1 +$EndComp +$Comp +L R R2 +U 1 1 55FADD67 +P 4450 3130 +F 0 "R2" V 4530 3130 50 0000 C CNN +F 1 "560" V 4450 3130 50 0000 C CNN +F 2 "Resistors_SMD:R_0805_HandSoldering" V 4380 3130 30 0001 C CNN +F 3 "" H 4450 3130 30 0000 C CNN + 1 4450 3130 + 1 0 0 -1 +$EndComp +$Comp +L R R1 +U 1 1 55FAE1DA +P 4165 3125 +F 0 "R1" V 4245 3125 50 0000 C CNN +F 1 "560" V 4165 3125 50 0000 C CNN +F 2 "Resistors_SMD:R_0805_HandSoldering" V 4095 3125 30 0001 C CNN +F 3 "" H 4165 3125 30 0000 C CNN + 1 4165 3125 + 1 0 0 -1 +$EndComp +$Comp +L R R3 +U 1 1 55FAED06 +P 4460 4085 +F 0 "R3" V 4540 4085 50 0000 C CNN +F 1 "100" V 4460 4085 50 0000 C CNN +F 2 "Resistors_SMD:R_0805_HandSoldering" V 4390 4085 30 0001 C CNN +F 3 "" H 4460 4085 30 0000 C CNN + 1 4460 4085 + 0 1 1 0 +$EndComp +$Comp +L +5V #PWR02 +U 1 1 55FB1760 +P 4310 2345 +F 0 "#PWR02" H 4310 2195 50 0001 C CNN +F 1 "+5V" H 4310 2485 50 0000 C CNN +F 2 "" H 4310 2345 60 0000 C CNN +F 3 "" H 4310 2345 60 0000 C CNN + 1 4310 2345 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR03 +U 1 1 55FAFB69 +P 4125 4565 +F 0 "#PWR03" H 4125 4315 50 0001 C CNN +F 1 "GND" H 4125 4415 50 0000 C CNN +F 2 "" H 4125 4565 60 0000 C CNN +F 3 "" H 4125 4565 60 0000 C CNN + 1 4125 4565 + 1 0 0 -1 +$EndComp +NoConn ~ 5630 2630 +NoConn ~ 5630 2545 +NoConn ~ 5630 2795 +$Comp +L C C1 +U 1 1 55FAED95 +P 4125 4340 +F 0 "C1" H 4150 4440 50 0000 L CNN +F 1 "1n" H 4150 4240 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805_HandSoldering" H 4163 4190 30 0001 C CNN +F 3 "" H 4125 4340 60 0000 C CNN + 1 4125 4340 + -1 0 0 1 +$EndComp +Wire Wire Line + 4165 2495 4165 2440 +Wire Wire Line + 4165 2440 4450 2440 +Wire Wire Line + 4450 2440 4450 2500 +Wire Wire Line + 4165 2895 4165 2975 +Wire Wire Line + 4450 2900 4450 2980 +Wire Wire Line + 4450 3280 4450 3485 +Wire Wire Line + 4165 3275 4165 3485 +Wire Wire Line + 6825 2875 6990 2875 +Wire Wire Line + 6825 2795 6990 2795 +Wire Wire Line + 4310 2440 4310 2345 +Connection ~ 4310 2440 +Wire Wire Line + 5630 2385 5485 2385 +Wire Wire Line + 5630 2465 5485 2465 +Wire Wire Line + 6825 2715 6990 2715 +Wire Wire Line + 6825 2955 6990 2955 +Wire Notes Line + 3725 2095 4865 2095 +Wire Notes Line + 4865 2095 4865 3610 +Wire Notes Line + 4865 3610 3725 3610 +Wire Notes Line + 3725 3610 3725 2095 +Wire Notes Line + 3705 3810 4975 3810 +Wire Notes Line + 4975 3810 4975 4860 +Wire Notes Line + 4975 4860 3705 4860 +Wire Notes Line + 3705 4860 3705 3810 +Wire Wire Line + 4125 4565 4125 4490 +Wire Wire Line + 4125 4190 4125 4085 +Wire Wire Line + 3915 4085 4310 4085 +Wire Wire Line + 4610 4085 4790 4085 +Connection ~ 4125 4085 +$Comp +L C C4 +U 1 1 55FB7E85 +P 7940 2675 +F 0 "C4" H 7965 2775 50 0000 L CNN +F 1 "100n" H 7965 2575 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805_HandSoldering" H 7978 2525 30 0001 C CNN +F 3 "" H 7940 2675 60 0000 C CNN + 1 7940 2675 + 1 0 0 -1 +$EndComp +$Comp +L C C2 +U 1 1 55FB84C5 +P 7420 2675 +F 0 "C2" H 7445 2775 50 0000 L CNN +F 1 "1u" H 7445 2575 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805_HandSoldering" H 7458 2525 30 0001 C CNN +F 3 "" H 7420 2675 60 0000 C CNN + 1 7420 2675 + 1 0 0 -1 +$EndComp +$Comp +L C C3 +U 1 1 55FB8594 +P 7685 2675 +F 0 "C3" H 7710 2775 50 0000 L CNN +F 1 "1u" H 7710 2575 50 0000 L CNN +F 2 "Capacitors_SMD:C_0805_HandSoldering" H 7723 2525 30 0001 C CNN +F 3 "" H 7685 2675 60 0000 C CNN + 1 7685 2675 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6825 2465 7685 2465 +Wire Wire Line + 7685 2465 7685 2525 +Wire Wire Line + 7420 2525 7420 2465 +Connection ~ 7420 2465 +Wire Wire Line + 7420 2825 7420 2935 +Wire Wire Line + 7685 2825 7685 3025 +Connection ~ 7685 2935 +$Comp +L GND #PWR04 +U 1 1 55FBA5F6 +P 7685 3025 +F 0 "#PWR04" H 7685 2775 50 0001 C CNN +F 1 "GND" H 7685 2875 50 0000 C CNN +F 2 "" H 7685 3025 60 0000 C CNN +F 3 "" H 7685 3025 60 0000 C CNN + 1 7685 3025 + 1 0 0 -1 +$EndComp +Wire Notes Line + 5070 2095 5070 3550 +Wire Notes Line + 5070 3550 8295 3550 +Wire Notes Line + 8295 3550 8295 2095 +Wire Notes Line + 8295 2095 5070 2095 +$Comp +L CONN_02X07 P1 +U 1 1 55FBB05D +P 6130 4315 +F 0 "P1" H 6130 4715 50 0000 C CNN +F 1 "CONN_02X07" V 6130 4315 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Straight_2x07" H 6130 3115 60 0001 C CNN +F 3 "" H 6130 3115 60 0000 C CNN + 1 6130 4315 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5880 4015 5725 4015 +Wire Wire Line + 5880 4115 5725 4115 +Wire Wire Line + 5880 4215 5725 4215 +Wire Wire Line + 5880 4315 5725 4315 +Wire Wire Line + 5880 4415 5725 4415 +Wire Wire Line + 5880 4515 5725 4515 +Wire Wire Line + 5880 4615 5725 4615 +Wire Wire Line + 6380 4615 6490 4615 +Wire Wire Line + 6380 4515 6490 4515 +Wire Wire Line + 6380 4415 6490 4415 +Wire Wire Line + 6380 4315 6490 4315 +Wire Wire Line + 6380 4215 6490 4215 +Wire Wire Line + 6380 4115 6490 4115 +Wire Wire Line + 6380 4015 6490 4015 +Wire Notes Line + 5220 3765 7125 3765 +Wire Notes Line + 7125 3765 7125 4870 +Wire Notes Line + 7125 4870 5220 4870 +Wire Notes Line + 5220 4870 5220 3765 +$Comp +L PWR_FLAG #FLG05 +U 1 1 55FC07E4 +P 5335 2780 +F 0 "#FLG05" H 5335 2875 50 0001 C CNN +F 1 "PWR_FLAG" H 5335 2960 50 0000 C CNN +F 2 "" H 5335 2780 60 0000 C CNN +F 3 "" H 5335 2780 60 0000 C CNN + 1 5335 2780 + 1 0 0 -1 +$EndComp +$Comp +L PWR_FLAG #FLG06 +U 1 1 55FC1456 +P 3950 2410 +F 0 "#FLG06" H 3950 2505 50 0001 C CNN +F 1 "PWR_FLAG" H 3950 2590 50 0000 C CNN +F 2 "" H 3950 2410 60 0000 C CNN +F 3 "" H 3950 2410 60 0000 C CNN + 1 3950 2410 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5630 2875 5335 2875 +Wire Wire Line + 5335 2780 5335 3000 +Connection ~ 5335 2875 +Wire Wire Line + 3950 2440 4310 2440 +Wire Wire Line + 3950 2410 3950 2440 +Text Label 5485 2385 2 60 ~ 0 +MagINCn +Text Label 4165 3485 2 60 ~ 0 +MagINCn +Text Label 5485 2465 2 60 ~ 0 +MagDECn +Text Label 4450 3485 0 60 ~ 0 +MagDECn +Wire Wire Line + 5630 2955 5560 2955 +Text Label 5560 2955 2 60 ~ 0 +Prog +Text Label 3915 4085 2 60 ~ 0 +Prog +Text Label 4790 4085 0 60 ~ 0 +DI +Wire Wire Line + 7420 2935 7940 2935 +Wire Wire Line + 7940 2935 7940 2825 +Wire Wire Line + 7940 2525 7940 2385 +Wire Wire Line + 7940 2385 6825 2385 +$Comp +L +5V #PWR07 +U 1 1 55FC2F9A +P 6980 2310 +F 0 "#PWR07" H 6980 2160 50 0001 C CNN +F 1 "+5V" H 6980 2450 50 0000 C CNN +F 2 "" H 6980 2310 60 0000 C CNN +F 3 "" H 6980 2310 60 0000 C CNN + 1 6980 2310 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6980 2310 6980 2385 +Connection ~ 6980 2385 +Text Label 6990 2715 0 60 ~ 0 +PWM +Text Label 6990 2795 0 60 ~ 0 +CS +Text Label 6990 2875 0 60 ~ 0 +CLK +Text Label 6990 2955 0 60 ~ 0 +DO +$Comp +L +5V #PWR08 +U 1 1 55FC70CF +P 5725 3950 +F 0 "#PWR08" H 5725 3800 50 0001 C CNN +F 1 "+5V" H 5725 4090 50 0000 C CNN +F 2 "" H 5725 3950 60 0000 C CNN +F 3 "" H 5725 3950 60 0000 C CNN + 1 5725 3950 + 1 0 0 -1 +$EndComp +$Comp +L +5V #PWR09 +U 1 1 55FC717F +P 6490 3950 +F 0 "#PWR09" H 6490 3800 50 0001 C CNN +F 1 "+5V" H 6490 4090 50 0000 C CNN +F 2 "" H 6490 3950 60 0000 C CNN +F 3 "" H 6490 3950 60 0000 C CNN + 1 6490 3950 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6490 4015 6490 3950 +Wire Wire Line + 5725 4015 5725 3950 +Text Label 5725 4115 2 60 ~ 0 +PWM +Text Label 6490 4115 0 60 ~ 0 +PWM +Text Label 5725 4215 2 60 ~ 0 +CS +Text Label 6490 4215 0 60 ~ 0 +CS +Text Label 5725 4315 2 60 ~ 0 +CLK +Text Label 6490 4315 0 60 ~ 0 +CLK +Text Label 5725 4415 2 60 ~ 0 +DO +Text Label 6490 4415 0 60 ~ 0 +DO +Text Label 5725 4515 2 60 ~ 0 +DI +Text Label 6490 4515 0 60 ~ 0 +DI +$Comp +L GND #PWR010 +U 1 1 55FC8C3E +P 5725 4670 +F 0 "#PWR010" H 5725 4420 50 0001 C CNN +F 1 "GND" H 5725 4520 50 0000 C CNN +F 2 "" H 5725 4670 60 0000 C CNN +F 3 "" H 5725 4670 60 0000 C CNN + 1 5725 4670 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR011 +U 1 1 55FC8D71 +P 6490 4670 +F 0 "#PWR011" H 6490 4420 50 0001 C CNN +F 1 "GND" H 6490 4520 50 0000 C CNN +F 2 "" H 6490 4670 60 0000 C CNN +F 3 "" H 6490 4670 60 0000 C CNN + 1 6490 4670 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6490 4615 6490 4670 +Wire Wire Line + 5725 4615 5725 4670 +$Comp +L LED D1 +U 1 1 55FCA0B4 +P 4165 2695 +F 0 "D1" H 4165 2795 50 0000 C CNN +F 1 "LED" H 4165 2595 50 0000 C CNN +F 2 "LEDs:LED-3MM" H 4165 2695 60 0001 C CNN +F 3 "" H 4165 2695 60 0000 C CNN + 1 4165 2695 + 0 -1 -1 0 +$EndComp +$Comp +L LED D2 +U 1 1 55FCA19F +P 4450 2700 +F 0 "D2" H 4450 2800 50 0000 C CNN +F 1 "LED" H 4450 2600 50 0000 C CNN +F 2 "LEDs:LED-3MM" H 4450 2700 60 0001 C CNN +F 3 "" H 4450 2700 60 0000 C CNN + 1 4450 2700 + 0 -1 -1 0 +$EndComp +$EndSCHEMATC diff --git a/PCB/SatNOGS_EndStop/BOM.csv b/PCB/SatNOGS_EndStop/BOM.csv new file mode 100644 index 0000000..3be5510 --- /dev/null +++ b/PCB/SatNOGS_EndStop/BOM.csv @@ -0,0 +1,7 @@ +Reference, Value, Footprint, Datasheet +U1,TCST2103,library:TCST2103, +R1,180,Resistors_SMD:R_0805_HandSoldering, +R2,1k5,Resistors_SMD:R_0805_HandSoldering, +R3,1k,Resistors_SMD:R_0805_HandSoldering, +D1,LED,LEDs:LED-3MM, +P1,CONN_01X03,Pin_Headers:Pin_Header_Angled_1x03, diff --git a/PCB/SatNOGS_EndStop/BOM.xml b/PCB/SatNOGS_EndStop/BOM.xml new file mode 100644 index 0000000..2b31a2d --- /dev/null +++ b/PCB/SatNOGS_EndStop/BOM.xml @@ -0,0 +1,169 @@ +<?xml version="1.0" encoding="UTF-8"?> +<export version="D"> + <design> + <source>/home/azisi/Documents/projects/SatNOGS/satnogs-rotator-controller/PCB/SatNOGS_EndStop/SatNOGS_EndStop.sch</source> + <date>Κυρ 20 Σεπ 2015 08:17:39 πμ EDT</date> + <tool>Eeschema 0.201509161501+6201~30~ubuntu14.04.1-product</tool> + <sheet number="1" name="/" tstamps="/"> + <title_block> + <title/> + <company/> + <rev/> + <date/> + <source>SatNOGS_EndStop.sch</source> + <comment number="1" value=""/> + <comment number="2" value=""/> + <comment number="3" value=""/> + <comment number="4" value=""/> + </title_block> + </sheet> + </design> + <components> + <comp ref="U1"> + <value>TCST2103</value> + <footprint>library:TCST2103</footprint> + <libsource lib="satnogs" part="TCST2103"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55BCD149</tstamp> + </comp> + <comp ref="R1"> + <value>180</value> + <footprint>Resistors_SMD:R_0805_HandSoldering</footprint> + <libsource lib="device" part="R"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55BCD813</tstamp> + </comp> + <comp ref="R2"> + <value>1k5</value> + <footprint>Resistors_SMD:R_0805_HandSoldering</footprint> + <libsource lib="device" part="R"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55BCD89E</tstamp> + </comp> + <comp ref="R3"> + <value>1k</value> + <footprint>Resistors_SMD:R_0805_HandSoldering</footprint> + <libsource lib="device" part="R"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55BCD8ED</tstamp> + </comp> + <comp ref="D1"> + <value>LED</value> + <footprint>LEDs:LED-3MM</footprint> + <libsource lib="device" part="LED"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55BCD94A</tstamp> + </comp> + <comp ref="P1"> + <value>CONN_01X03</value> + <footprint>Pin_Headers:Pin_Header_Angled_1x03</footprint> + <libsource lib="conn" part="CONN_01X03"/> + <sheetpath names="/" tstamps="/"/> + <tstamp>55BE41ED</tstamp> + </comp> + </components> + <libparts> + <libpart lib="conn" part="CONN_01X03"> + <footprints> + <fp>Pin_Header_Straight_1X03</fp> + <fp>Pin_Header_Angled_1X03</fp> + <fp>Socket_Strip_Straight_1X03</fp> + <fp>Socket_Strip_Angled_1X03</fp> + </footprints> + <fields> + <field name="Reference">P</field> + <field name="Value">CONN_01X03</field> + </fields> + <pins> + <pin num="1" name="P1" type="passive"/> + <pin num="2" name="P2" type="passive"/> + <pin num="3" name="P3" type="passive"/> + </pins> + </libpart> + <libpart lib="satnogs" part="TCST2103"> + <description>Transmissive Optical Sensor with Phototransistor Output</description> + <fields> + <field name="Reference">U</field> + <field name="Value">TCST2103</field> + </fields> + <pins> + <pin num="1" name="+" type="input"/> + <pin num="2" name="E" type="input"/> + <pin num="3" name="+" type="input"/> + <pin num="4" name="D" type="input"/> + </pins> + </libpart> + <libpart lib="device" part="R"> + <description>Resistor</description> + <footprints> + <fp>R_*</fp> + <fp>Resistor_*</fp> + </footprints> + <fields> + <field name="Reference">R</field> + <field name="Value">R</field> + </fields> + <pins> + <pin num="1" name="~" type="passive"/> + <pin num="2" name="~" type="passive"/> + </pins> + </libpart> + <libpart lib="device" part="LED"> + <footprints> + <fp>LED-3MM</fp> + <fp>LED-5MM</fp> + <fp>LED-10MM</fp> + <fp>LED-0603</fp> + <fp>LED-0805</fp> + <fp>LED-1206</fp> + <fp>LEDV</fp> + </footprints> + <fields> + <field name="Reference">D</field> + <field name="Value">LED</field> + </fields> + <pins> + <pin num="1" name="K" type="passive"/> + <pin num="2" name="A" type="passive"/> + </pins> + </libpart> + </libparts> + <libraries> + <library logical="device"> + <uri>/usr/share/kicad/library/device.lib</uri> + </library> + <library logical="conn"> + <uri>/usr/share/kicad/library/conn.lib</uri> + </library> + <library logical="satnogs"> + <uri>/home/azisi/Documents/projects/SatNOGS/satnogs-rotator-controller/PCB/library/satnogs.lib</uri> + </library> + </libraries> + <nets> + <net code="1" name="/Signal"> + <node ref="R2" pin="2"/> + <node ref="D1" pin="2"/> + <node ref="U1" pin="3"/> + <node ref="P1" pin="3"/> + </net> + <net code="2" name="+5V"> + <node ref="R2" pin="1"/> + <node ref="R1" pin="1"/> + <node ref="P1" pin="1"/> + </net> + <net code="3" name="Net-(D1-Pad1)"> + <node ref="R3" pin="1"/> + <node ref="D1" pin="1"/> + </net> + <net code="4" name="GND"> + <node ref="P1" pin="2"/> + <node ref="R3" pin="2"/> + <node ref="U1" pin="2"/> + <node ref="U1" pin="4"/> + </net> + <net code="5" name="Net-(R1-Pad2)"> + <node ref="U1" pin="1"/> + <node ref="R1" pin="2"/> + </net> + </nets> +</export> diff --git a/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-B_Cu.gbr b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-B_Cu.gbr new file mode 100644 index 0000000..13dd278 --- /dev/null +++ b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-B_Cu.gbr @@ -0,0 +1,579 @@ +G04 #@! TF.FileFunction,Copper,L2,Bot,Signal* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:05:43 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11R,1.600000X1.600000*% +%ADD12C,1.600000*% +%ADD13R,2.000000X2.000000*% +%ADD14C,2.000000*% +%ADD15R,2.032000X1.727200*% +%ADD16O,2.032000X1.727200*% +%ADD17R,1.300000X1.500000*% +%ADD18C,0.600000*% +%ADD19C,0.254000*% +G04 APERTURE END LIST* +D10* +D11* +X142240000Y-104140000D03* +D12* +X142240000Y-106680000D03* +D11* +X149860000Y-106680000D03* +D12* +X149860000Y-104140000D03* +D13* +X161925000Y-108585000D03* +D14* +X164465000Y-108585000D03* +D15* +X167640000Y-102870000D03* +D16* +X167640000Y-105410000D03* +X167640000Y-107950000D03* +D17* +X146050000Y-100885000D03* +X146050000Y-103585000D03* +X146050000Y-109935000D03* +X146050000Y-107235000D03* +X160655000Y-104855000D03* +X160655000Y-102155000D03* +D18* +X160655000Y-104855000D02* +X160655000Y-106045000D01* +X161925000Y-107315000D02* +X161925000Y-108585000D01* +X160655000Y-106045000D02* +X161925000Y-107315000D01* +X161925000Y-108585000D02* +X161925000Y-107950000D01* +X160655000Y-102155000D02* +X161210000Y-102155000D01* +X161210000Y-102155000D02* +X164465000Y-105410000D01* +X164465000Y-105410000D02* +X167640000Y-105410000D01* +X149860000Y-104140000D02* +X151130000Y-104140000D01* +X153670000Y-101600000D02* +X160100000Y-101600000D01* +X151130000Y-104140000D02* +X153670000Y-101600000D01* +X160100000Y-101600000D02* +X160655000Y-102155000D01* +X142240000Y-106680000D02* +X143510000Y-106680000D01* +X147955000Y-105410000D02* +X149225000Y-104140000D01* +X144780000Y-105410000D02* +X147955000Y-105410000D01* +X143510000Y-106680000D02* +X144780000Y-105410000D01* +X149225000Y-104140000D02* +X149860000Y-104140000D01* +X146050000Y-109935000D02* +X141050000Y-109935000D01* +X141685000Y-100885000D02* +X146050000Y-100885000D01* +X140335000Y-102235000D02* +X141685000Y-100885000D01* +X140335000Y-109220000D02* +X140335000Y-102235000D01* +X141050000Y-109935000D02* +X140335000Y-109220000D01* +X146050000Y-100885000D02* +X149305000Y-100885000D01* +X165100000Y-102870000D02* +X167640000Y-102870000D01* +X161925000Y-99695000D02* +X165100000Y-102870000D01* +X150495000Y-99695000D02* +X161925000Y-99695000D01* +X149305000Y-100885000D02* +X150495000Y-99695000D01* +X142240000Y-104140000D02* +X144145000Y-104140000D01* +X144700000Y-103585000D02* +X146050000Y-103585000D01* +X144145000Y-104140000D02* +X144700000Y-103585000D01* +X164465000Y-108585000D02* +X165735000Y-108585000D01* +X166370000Y-107950000D02* +X167640000Y-107950000D01* +X165735000Y-108585000D02* +X166370000Y-107950000D01* +X149860000Y-106680000D02* +X147955000Y-106680000D01* +X147400000Y-107235000D02* +X146050000Y-107235000D01* +X147955000Y-106680000D02* +X147400000Y-107235000D01* +X164465000Y-108585000D02* +X164465000Y-110490000D01* +X149860000Y-110490000D02* +X149860000Y-106680000D01* +X150495000Y-111125000D02* +X149860000Y-110490000D01* +X163830000Y-111125000D02* +X150495000Y-111125000D01* +X164465000Y-110490000D02* +X163830000Y-111125000D01* +D19* +G36* +X178068000Y-111393000D02* +X165297240Y-111393000D01* +X165332620Y-111357620D01* +X165598601Y-110959552D01* +X165692000Y-110490000D01* +X165692000Y-110082954D01* +X166020270Y-109755256D01* +X166204553Y-109718600D01* +X166569959Y-109474443D01* +X166764301Y-109604299D01* +X167449534Y-109740600D01* +X167830466Y-109740600D01* +X168515699Y-109604299D01* +X169096611Y-109216145D01* +X169484765Y-108635233D01* +X169621066Y-107950000D01* +X169484765Y-107264767D01* +X169096611Y-106683855D01* +X168797940Y-106484289D01* +X168990732Y-106312036D01* +X169244709Y-105784791D01* +X169247358Y-105769026D01* +X169126217Y-105537000D01* +X167767000Y-105537000D01* +X167767000Y-105557000D01* +X167513000Y-105557000D01* +X167513000Y-105537000D01* +X166153783Y-105537000D01* +X166032642Y-105769026D01* +X166035291Y-105784791D01* +X166289268Y-106312036D01* +X166482060Y-106484289D01* +X166183389Y-106683855D01* +X166124620Y-106771809D01* +X165900448Y-106816399D01* +X165613617Y-107008053D01* +X165557983Y-106952322D01* +X164849986Y-106658335D01* +X164083378Y-106657666D01* +X163539017Y-106882591D01* +X163292721Y-106714304D01* +X162925000Y-106639839D01* +X162921217Y-106639839D01* +X162792620Y-106447380D01* +X162199654Y-105854414D01* +X162250161Y-105605000D01* +X162250161Y-104105000D01* +X162185522Y-103761474D01* +X161982499Y-103445967D01* +X161792131Y-103315894D01* +X161843327Y-103264698D01* +X161940000Y-103031309D01* +X161940000Y-102440750D01* +X161781250Y-102282000D01* +X160782000Y-102282000D01* +X160782000Y-102302000D01* +X160528000Y-102302000D01* +X160528000Y-102282000D01* +X159528750Y-102282000D01* +X159370000Y-102440750D01* +X159370000Y-103031309D01* +X159466673Y-103264698D01* +X159518472Y-103316497D01* +X159345967Y-103427501D01* +X159134304Y-103737279D01* +X159059839Y-104105000D01* +X159059839Y-105605000D01* +X159124478Y-105948526D01* +X159327501Y-106264033D01* +X159494229Y-106377954D01* +X159521400Y-106514553D01* +X159775730Y-106895184D01* +X159787380Y-106912620D01* +X160069621Y-107194861D01* +X160054304Y-107217279D01* +X159979839Y-107585000D01* +X159979839Y-109585000D01* +X160038734Y-109898000D01* +X151087000Y-109898000D01* +X151087000Y-108306808D01* +X151319033Y-108157499D01* +X151530696Y-107847721D01* +X151605161Y-107480000D01* +X151605161Y-105880000D01* +X151540522Y-105536474D01* +X151337499Y-105220967D01* +X151027721Y-105009304D01* +X150878743Y-104979135D01* +X150867747Y-104968139D01* +X151113864Y-104894005D01* +X151306965Y-104356777D01* +X151279778Y-103786546D01* +X151113864Y-103385995D01* +X150867745Y-103311861D01* +X150039605Y-104140000D01* +X150053748Y-104154142D01* +X149874142Y-104333748D01* +X149860000Y-104319605D01* +X149845858Y-104333748D01* +X149666252Y-104154142D01* +X149680395Y-104140000D01* +X148852255Y-103311861D01* +X148606136Y-103385995D01* +X148413035Y-103923223D01* +X148440222Y-104493454D01* +X148606136Y-104894005D01* +X148852253Y-104968139D01* +X148845121Y-104975271D01* +X148716474Y-104999478D01* +X148400967Y-105202501D01* +X148229808Y-105453000D01* +X147955000Y-105453000D01* +X147485448Y-105546399D01* +X147224006Y-105721089D01* +X147067721Y-105614304D01* +X146700000Y-105539839D01* +X145400000Y-105539839D01* +X145056474Y-105604478D01* +X144740967Y-105807501D01* +X144529304Y-106117279D01* +X144454839Y-106485000D01* +X144454839Y-107985000D01* +X144519478Y-108328526D01* +X144686212Y-108587638D01* +X144603971Y-108708000D01* +X141562000Y-108708000D01* +X141562000Y-107961183D01* +X142023223Y-108126965D01* +X142593454Y-108099778D01* +X142994005Y-107933864D01* +X143068139Y-107687745D01* +X142240000Y-106859605D01* +X142225858Y-106873748D01* +X142046252Y-106694142D01* +X142060395Y-106680000D01* +X142046252Y-106665858D01* +X142225858Y-106486252D01* +X142240000Y-106500395D01* +X142254142Y-106486252D01* +X142433748Y-106665858D01* +X142419605Y-106680000D01* +X143247745Y-107508139D01* +X143493864Y-107434005D01* +X143686965Y-106896777D01* +X143659778Y-106326546D01* +X143493864Y-105925995D01* +X143247747Y-105851861D01* +X143254879Y-105844729D01* +X143383526Y-105820522D01* +X143699033Y-105617499D01* +X143870192Y-105367000D01* +X144145000Y-105367000D01* +X144614553Y-105273600D01* +X144875994Y-105098911D01* +X145032279Y-105205696D01* +X145400000Y-105280161D01* +X146700000Y-105280161D01* +X147043526Y-105215522D01* +X147359033Y-105012499D01* +X147570696Y-104702721D01* +X147645161Y-104335000D01* +X147645161Y-103132255D01* +X149031861Y-103132255D01* +X149860000Y-103960395D01* +X150688139Y-103132255D01* +X150614005Y-102886136D01* +X150076777Y-102693035D01* +X149506546Y-102720222D01* +X149105995Y-102886136D01* +X149031861Y-103132255D01* +X147645161Y-103132255D01* +X147645161Y-102835000D01* +X147580522Y-102491474D01* +X147413788Y-102232362D01* +X147496029Y-102112000D01* +X149305000Y-102112000D01* +X149774553Y-102018600D01* +X150172620Y-101752620D01* +X151003240Y-100922000D01* +X159589974Y-100922000D01* +X159466673Y-101045302D01* +X159370000Y-101278691D01* +X159370000Y-101869250D01* +X159528750Y-102028000D01* +X160528000Y-102028000D01* +X160528000Y-102008000D01* +X160782000Y-102008000D01* +X160782000Y-102028000D01* +X161781250Y-102028000D01* +X161940000Y-101869250D01* +X161940000Y-101445240D01* +X164232380Y-103737620D01* +X164630447Y-104003600D01* +X165100000Y-104097000D01* +X165756267Y-104097000D01* +X165946501Y-104392633D01* +X166246186Y-104597400D01* +X166035291Y-105035209D01* +X166032642Y-105050974D01* +X166153783Y-105283000D01* +X167513000Y-105283000D01* +X167513000Y-105263000D01* +X167767000Y-105263000D01* +X167767000Y-105283000D01* +X169126217Y-105283000D01* +X169247358Y-105050974D01* +X169244709Y-105035209D01* +X169031850Y-104593322D01* +X169315033Y-104411099D01* +X169526696Y-104101321D01* +X169601161Y-103733600D01* +X169601161Y-102006400D01* +X169536522Y-101662874D01* +X169333499Y-101347367D01* +X169023721Y-101135704D01* +X168656000Y-101061239D01* +X166624000Y-101061239D01* +X166280474Y-101125878D01* +X165964967Y-101328901D01* +X165753304Y-101638679D01* +X165752429Y-101643000D01* +X165608240Y-101643000D01* +X163392240Y-99427000D01* +X178068000Y-99427000D01* +X178068000Y-111393000D01* +X178068000Y-111393000D01* +G37* +X178068000Y-111393000D02* +X165297240Y-111393000D01* +X165332620Y-111357620D01* +X165598601Y-110959552D01* +X165692000Y-110490000D01* +X165692000Y-110082954D01* +X166020270Y-109755256D01* +X166204553Y-109718600D01* +X166569959Y-109474443D01* +X166764301Y-109604299D01* +X167449534Y-109740600D01* +X167830466Y-109740600D01* +X168515699Y-109604299D01* +X169096611Y-109216145D01* +X169484765Y-108635233D01* +X169621066Y-107950000D01* +X169484765Y-107264767D01* +X169096611Y-106683855D01* +X168797940Y-106484289D01* +X168990732Y-106312036D01* +X169244709Y-105784791D01* +X169247358Y-105769026D01* +X169126217Y-105537000D01* +X167767000Y-105537000D01* +X167767000Y-105557000D01* +X167513000Y-105557000D01* +X167513000Y-105537000D01* +X166153783Y-105537000D01* +X166032642Y-105769026D01* +X166035291Y-105784791D01* +X166289268Y-106312036D01* +X166482060Y-106484289D01* +X166183389Y-106683855D01* +X166124620Y-106771809D01* +X165900448Y-106816399D01* +X165613617Y-107008053D01* +X165557983Y-106952322D01* +X164849986Y-106658335D01* +X164083378Y-106657666D01* +X163539017Y-106882591D01* +X163292721Y-106714304D01* +X162925000Y-106639839D01* +X162921217Y-106639839D01* +X162792620Y-106447380D01* +X162199654Y-105854414D01* +X162250161Y-105605000D01* +X162250161Y-104105000D01* +X162185522Y-103761474D01* +X161982499Y-103445967D01* +X161792131Y-103315894D01* +X161843327Y-103264698D01* +X161940000Y-103031309D01* +X161940000Y-102440750D01* +X161781250Y-102282000D01* +X160782000Y-102282000D01* +X160782000Y-102302000D01* +X160528000Y-102302000D01* +X160528000Y-102282000D01* +X159528750Y-102282000D01* +X159370000Y-102440750D01* +X159370000Y-103031309D01* +X159466673Y-103264698D01* +X159518472Y-103316497D01* +X159345967Y-103427501D01* +X159134304Y-103737279D01* +X159059839Y-104105000D01* +X159059839Y-105605000D01* +X159124478Y-105948526D01* +X159327501Y-106264033D01* +X159494229Y-106377954D01* +X159521400Y-106514553D01* +X159775730Y-106895184D01* +X159787380Y-106912620D01* +X160069621Y-107194861D01* +X160054304Y-107217279D01* +X159979839Y-107585000D01* +X159979839Y-109585000D01* +X160038734Y-109898000D01* +X151087000Y-109898000D01* +X151087000Y-108306808D01* +X151319033Y-108157499D01* +X151530696Y-107847721D01* +X151605161Y-107480000D01* +X151605161Y-105880000D01* +X151540522Y-105536474D01* +X151337499Y-105220967D01* +X151027721Y-105009304D01* +X150878743Y-104979135D01* +X150867747Y-104968139D01* +X151113864Y-104894005D01* +X151306965Y-104356777D01* +X151279778Y-103786546D01* +X151113864Y-103385995D01* +X150867745Y-103311861D01* +X150039605Y-104140000D01* +X150053748Y-104154142D01* +X149874142Y-104333748D01* +X149860000Y-104319605D01* +X149845858Y-104333748D01* +X149666252Y-104154142D01* +X149680395Y-104140000D01* +X148852255Y-103311861D01* +X148606136Y-103385995D01* +X148413035Y-103923223D01* +X148440222Y-104493454D01* +X148606136Y-104894005D01* +X148852253Y-104968139D01* +X148845121Y-104975271D01* +X148716474Y-104999478D01* +X148400967Y-105202501D01* +X148229808Y-105453000D01* +X147955000Y-105453000D01* +X147485448Y-105546399D01* +X147224006Y-105721089D01* +X147067721Y-105614304D01* +X146700000Y-105539839D01* +X145400000Y-105539839D01* +X145056474Y-105604478D01* +X144740967Y-105807501D01* +X144529304Y-106117279D01* +X144454839Y-106485000D01* +X144454839Y-107985000D01* +X144519478Y-108328526D01* +X144686212Y-108587638D01* +X144603971Y-108708000D01* +X141562000Y-108708000D01* +X141562000Y-107961183D01* +X142023223Y-108126965D01* +X142593454Y-108099778D01* +X142994005Y-107933864D01* +X143068139Y-107687745D01* +X142240000Y-106859605D01* +X142225858Y-106873748D01* +X142046252Y-106694142D01* +X142060395Y-106680000D01* +X142046252Y-106665858D01* +X142225858Y-106486252D01* +X142240000Y-106500395D01* +X142254142Y-106486252D01* +X142433748Y-106665858D01* +X142419605Y-106680000D01* +X143247745Y-107508139D01* +X143493864Y-107434005D01* +X143686965Y-106896777D01* +X143659778Y-106326546D01* +X143493864Y-105925995D01* +X143247747Y-105851861D01* +X143254879Y-105844729D01* +X143383526Y-105820522D01* +X143699033Y-105617499D01* +X143870192Y-105367000D01* +X144145000Y-105367000D01* +X144614553Y-105273600D01* +X144875994Y-105098911D01* +X145032279Y-105205696D01* +X145400000Y-105280161D01* +X146700000Y-105280161D01* +X147043526Y-105215522D01* +X147359033Y-105012499D01* +X147570696Y-104702721D01* +X147645161Y-104335000D01* +X147645161Y-103132255D01* +X149031861Y-103132255D01* +X149860000Y-103960395D01* +X150688139Y-103132255D01* +X150614005Y-102886136D01* +X150076777Y-102693035D01* +X149506546Y-102720222D01* +X149105995Y-102886136D01* +X149031861Y-103132255D01* +X147645161Y-103132255D01* +X147645161Y-102835000D01* +X147580522Y-102491474D01* +X147413788Y-102232362D01* +X147496029Y-102112000D01* +X149305000Y-102112000D01* +X149774553Y-102018600D01* +X150172620Y-101752620D01* +X151003240Y-100922000D01* +X159589974Y-100922000D01* +X159466673Y-101045302D01* +X159370000Y-101278691D01* +X159370000Y-101869250D01* +X159528750Y-102028000D01* +X160528000Y-102028000D01* +X160528000Y-102008000D01* +X160782000Y-102008000D01* +X160782000Y-102028000D01* +X161781250Y-102028000D01* +X161940000Y-101869250D01* +X161940000Y-101445240D01* +X164232380Y-103737620D01* +X164630447Y-104003600D01* +X165100000Y-104097000D01* +X165756267Y-104097000D01* +X165946501Y-104392633D01* +X166246186Y-104597400D01* +X166035291Y-105035209D01* +X166032642Y-105050974D01* +X166153783Y-105283000D01* +X167513000Y-105283000D01* +X167513000Y-105263000D01* +X167767000Y-105263000D01* +X167767000Y-105283000D01* +X169126217Y-105283000D01* +X169247358Y-105050974D01* +X169244709Y-105035209D01* +X169031850Y-104593322D01* +X169315033Y-104411099D01* +X169526696Y-104101321D01* +X169601161Y-103733600D01* +X169601161Y-102006400D01* +X169536522Y-101662874D01* +X169333499Y-101347367D01* +X169023721Y-101135704D01* +X168656000Y-101061239D01* +X166624000Y-101061239D01* +X166280474Y-101125878D01* +X165964967Y-101328901D01* +X165753304Y-101638679D01* +X165752429Y-101643000D01* +X165608240Y-101643000D01* +X163392240Y-99427000D01* +X178068000Y-99427000D01* +X178068000Y-111393000D01* +M02* diff --git a/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-B_SilkS.gbr b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-B_SilkS.gbr new file mode 100644 index 0000000..82bfe68 --- /dev/null +++ b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-B_SilkS.gbr @@ -0,0 +1,694 @@ +G04 #@! TF.FileFunction,Legend,Bot* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:05:43 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,0.150000*% +G04 APERTURE END LIST* +D10* +D11* +X146925000Y-102835000D02* +X146925000Y-101635000D01* +X145175000Y-101635000D02* +X145175000Y-102835000D01* +X145175000Y-107985000D02* +X145175000Y-109185000D01* +X146925000Y-109185000D02* +X146925000Y-107985000D01* +X159780000Y-102905000D02* +X159780000Y-104105000D01* +X161530000Y-104105000D02* +X161530000Y-102905000D01* +X134856220Y-108099860D02* +X134495540Y-109570520D01* +X134495540Y-109570520D02* +X134216140Y-108508800D01* +X134216140Y-108508800D02* +X133906260Y-109580680D01* +X133906260Y-109580680D02* +X133565900Y-108130340D01* +X136276080Y-108790740D02* +X135486140Y-108780580D01* +X135486140Y-108780580D02* +X135475980Y-108790740D01* +X135475980Y-108790740D02* +X135475980Y-108780580D01* +X135435340Y-108069380D02* +X135435340Y-109611160D01* +X136324340Y-108059220D02* +X136324340Y-109628940D01* +X136324340Y-109628940D02* +X136314180Y-109618780D01* +X136875520Y-108160820D02* +X137226040Y-108079540D01* +X137226040Y-108079540D02* +X137546080Y-108069380D01* +X137546080Y-108069380D02* +X137784840Y-108270040D01* +X137784840Y-108270040D02* +X137815320Y-108539280D01* +X137815320Y-108539280D02* +X137574020Y-108780580D01* +X137574020Y-108780580D02* +X137185400Y-108910120D01* +X137185400Y-108910120D02* +X137005060Y-109070140D01* +X137005060Y-109070140D02* +X136964420Y-109369860D01* +X136964420Y-109369860D02* +X137195560Y-109590840D01* +X137195560Y-109590840D02* +X137515600Y-109618780D01* +X137515600Y-109618780D02* +X137866120Y-109509560D01* +X138904980Y-108059220D02* +X139153900Y-108079540D01* +X139153900Y-108079540D02* +X139395200Y-108320840D01* +X139395200Y-108320840D02* +X139484100Y-108811060D01* +X139484100Y-108811060D02* +X139456160Y-109159040D01* +X139456160Y-109159040D02* +X139255500Y-109479080D01* +X139255500Y-109479080D02* +X139004040Y-109601000D01* +X139004040Y-109601000D02* +X138694160Y-109529880D01* +X138694160Y-109529880D02* +X138475720Y-109349540D01* +X138475720Y-109349540D02* +X138404600Y-108889800D01* +X138404600Y-108889800D02* +X138455400Y-108480860D01* +X138455400Y-108480860D02* +X138564620Y-108198920D01* +X138564620Y-108198920D02* +X138925300Y-108069380D01* +X138305540Y-106339640D02* +X138564620Y-106900980D01* +X138564620Y-106900980D02* +X138026140Y-107419140D01* +X138026140Y-107419140D02* +X137505440Y-107149900D01* +X137505440Y-107149900D02* +X137226040Y-107309920D01* +X135785860Y-107289600D02* +X135455660Y-107099100D01* +X135455660Y-107099100D02* +X135016240Y-107429300D01* +X135016240Y-107429300D02* +X134543800Y-106939080D01* +X134543800Y-106939080D02* +X134825740Y-106459020D01* +X134825740Y-106459020D02* +X134635240Y-105989120D01* +X134635240Y-105989120D02* +X134025640Y-105801160D01* +X134025640Y-105801160D02* +X134025640Y-105120440D01* +X134025640Y-105120440D02* +X134584440Y-104980740D01* +X134584440Y-104980740D02* +X134785100Y-104409240D01* +X134785100Y-104409240D02* +X134515860Y-103939340D01* +X134515860Y-103939340D02* +X134985760Y-103428800D01* +X134985760Y-103428800D02* +X135503920Y-103690420D01* +X135503920Y-103690420D02* +X135973820Y-103489760D01* +X135973820Y-103489760D02* +X136144000Y-102948740D01* +X136144000Y-102948740D02* +X136834880Y-102930960D01* +X136834880Y-102930960D02* +X137045700Y-103479600D01* +X137045700Y-103479600D02* +X137464800Y-103649780D01* +X137464800Y-103649780D02* +X138015980Y-103380540D01* +X138015980Y-103380540D02* +X138534140Y-103908860D01* +X138534140Y-103908860D02* +X138285220Y-104449880D01* +X138285220Y-104449880D02* +X138455400Y-104929940D01* +X138455400Y-104929940D02* +X139004040Y-105029000D01* +X139004040Y-105029000D02* +X139014200Y-105730040D01* +X139014200Y-105730040D02* +X138455400Y-105930700D01* +X138455400Y-105930700D02* +X138315700Y-106329480D01* +X136174480Y-106309160D02* +X135874760Y-106159300D01* +X135874760Y-106159300D02* +X135674100Y-105961180D01* +X135674100Y-105961180D02* +X135524240Y-105559860D01* +X135524240Y-105559860D02* +X135524240Y-105161080D01* +X135524240Y-105161080D02* +X135674100Y-104810560D01* +X135674100Y-104810560D02* +X136126220Y-104460040D01* +X136126220Y-104460040D02* +X136575800Y-104409240D01* +X136575800Y-104409240D02* +X136974580Y-104510840D01* +X136974580Y-104510840D02* +X137375900Y-104858820D01* +X137375900Y-104858820D02* +X137525760Y-105310940D01* +X137525760Y-105310940D02* +X137474960Y-105808780D01* +X137474960Y-105808780D02* +X137226040Y-106111040D01* +X137226040Y-106111040D02* +X136875520Y-106309160D01* +X136875520Y-106309160D02* +X137226040Y-107309920D01* +X136174480Y-106309160D02* +X135775700Y-107309920D01* +X148407381Y-102068334D02* +X147931190Y-101735000D01* +X148407381Y-101496905D02* +X147407381Y-101496905D01* +X147407381Y-101877858D01* +X147455000Y-101973096D01* +X147502619Y-102020715D01* +X147597857Y-102068334D01* +X147740714Y-102068334D01* +X147835952Y-102020715D01* +X147883571Y-101973096D01* +X147931190Y-101877858D01* +X147931190Y-101496905D01* +X148407381Y-103020715D02* +X148407381Y-102449286D01* +X148407381Y-102735000D02* +X147407381Y-102735000D01* +X147550238Y-102639762D01* +X147645476Y-102544524D01* +X147693095Y-102449286D01* +X148407381Y-108418334D02* +X147931190Y-108085000D01* +X148407381Y-107846905D02* +X147407381Y-107846905D01* +X147407381Y-108227858D01* +X147455000Y-108323096D01* +X147502619Y-108370715D01* +X147597857Y-108418334D01* +X147740714Y-108418334D01* +X147835952Y-108370715D01* +X147883571Y-108323096D01* +X147931190Y-108227858D01* +X147931190Y-107846905D01* +X147502619Y-108799286D02* +X147455000Y-108846905D01* +X147407381Y-108942143D01* +X147407381Y-109180239D01* +X147455000Y-109275477D01* +X147502619Y-109323096D01* +X147597857Y-109370715D01* +X147693095Y-109370715D01* +X147835952Y-109323096D01* +X148407381Y-108751667D01* +X148407381Y-109370715D01* +X163139381Y-103338334D02* +X162663190Y-103005000D01* +X163139381Y-102766905D02* +X162139381Y-102766905D01* +X162139381Y-103147858D01* +X162187000Y-103243096D01* +X162234619Y-103290715D01* +X162329857Y-103338334D01* +X162472714Y-103338334D01* +X162567952Y-103290715D01* +X162615571Y-103243096D01* +X162663190Y-103147858D01* +X162663190Y-102766905D01* +X162139381Y-103671667D02* +X162139381Y-104290715D01* +X162520333Y-103957381D01* +X162520333Y-104100239D01* +X162567952Y-104195477D01* +X162615571Y-104243096D01* +X162710810Y-104290715D01* +X162948905Y-104290715D01* +X163044143Y-104243096D01* +X163091762Y-104195477D01* +X163139381Y-104100239D01* +X163139381Y-103814524D01* +X163091762Y-103719286D01* +X163044143Y-103671667D01* +X142518763Y-100780810D02* +X142404477Y-100818905D01* +X142214001Y-100818905D01* +X142137811Y-100780810D01* +X142099715Y-100742714D01* +X142061620Y-100666524D01* +X142061620Y-100590333D01* +X142099715Y-100514143D01* +X142137811Y-100476048D01* +X142214001Y-100437952D01* +X142366382Y-100399857D01* +X142442573Y-100361762D01* +X142480668Y-100323667D01* +X142518763Y-100247476D01* +X142518763Y-100171286D01* +X142480668Y-100095095D01* +X142442573Y-100057000D01* +X142366382Y-100018905D01* +X142175906Y-100018905D01* +X142061620Y-100057000D01* +X141375906Y-100818905D02* +X141375906Y-100399857D01* +X141414001Y-100323667D01* +X141490191Y-100285571D01* +X141642572Y-100285571D01* +X141718763Y-100323667D01* +X141375906Y-100780810D02* +X141452096Y-100818905D01* +X141642572Y-100818905D01* +X141718763Y-100780810D01* +X141756858Y-100704619D01* +X141756858Y-100628429D01* +X141718763Y-100552238D01* +X141642572Y-100514143D01* +X141452096Y-100514143D01* +X141375906Y-100476048D01* +X141109239Y-100285571D02* +X140804477Y-100285571D01* +X140994953Y-100018905D02* +X140994953Y-100704619D01* +X140956858Y-100780810D01* +X140880667Y-100818905D01* +X140804477Y-100818905D01* +X140537810Y-100818905D02* +X140537810Y-100018905D01* +X140080667Y-100818905D01* +X140080667Y-100018905D01* +X139547334Y-100018905D02* +X139394953Y-100018905D01* +X139318762Y-100057000D01* +X139242572Y-100133190D01* +X139204477Y-100285571D01* +X139204477Y-100552238D01* +X139242572Y-100704619D01* +X139318762Y-100780810D01* +X139394953Y-100818905D01* +X139547334Y-100818905D01* +X139623524Y-100780810D01* +X139699715Y-100704619D01* +X139737810Y-100552238D01* +X139737810Y-100285571D01* +X139699715Y-100133190D01* +X139623524Y-100057000D01* +X139547334Y-100018905D01* +X138442572Y-100057000D02* +X138518763Y-100018905D01* +X138633048Y-100018905D01* +X138747334Y-100057000D01* +X138823525Y-100133190D01* +X138861620Y-100209381D01* +X138899715Y-100361762D01* +X138899715Y-100476048D01* +X138861620Y-100628429D01* +X138823525Y-100704619D01* +X138747334Y-100780810D01* +X138633048Y-100818905D01* +X138556858Y-100818905D01* +X138442572Y-100780810D01* +X138404477Y-100742714D01* +X138404477Y-100476048D01* +X138556858Y-100476048D01* +X138099715Y-100780810D02* +X137985429Y-100818905D01* +X137794953Y-100818905D01* +X137718763Y-100780810D01* +X137680667Y-100742714D01* +X137642572Y-100666524D01* +X137642572Y-100590333D01* +X137680667Y-100514143D01* +X137718763Y-100476048D01* +X137794953Y-100437952D01* +X137947334Y-100399857D01* +X138023525Y-100361762D01* +X138061620Y-100323667D01* +X138099715Y-100247476D01* +X138099715Y-100171286D01* +X138061620Y-100095095D01* +X138023525Y-100057000D01* +X137947334Y-100018905D01* +X137756858Y-100018905D01* +X137642572Y-100057000D01* +X136690191Y-100399857D02* +X136423524Y-100399857D01* +X136309238Y-100818905D02* +X136690191Y-100818905D01* +X136690191Y-100018905D01* +X136309238Y-100018905D01* +X135966381Y-100285571D02* +X135966381Y-100818905D01* +X135966381Y-100361762D02* +X135928286Y-100323667D01* +X135852095Y-100285571D01* +X135737809Y-100285571D01* +X135661619Y-100323667D01* +X135623524Y-100399857D01* +X135623524Y-100818905D01* +X134899714Y-100818905D02* +X134899714Y-100018905D01* +X134899714Y-100780810D02* +X134975904Y-100818905D01* +X135128285Y-100818905D01* +X135204476Y-100780810D01* +X135242571Y-100742714D01* +X135280666Y-100666524D01* +X135280666Y-100437952D01* +X135242571Y-100361762D01* +X135204476Y-100323667D01* +X135128285Y-100285571D01* +X134975904Y-100285571D01* +X134899714Y-100323667D01* +X134556856Y-100780810D02* +X134480666Y-100818905D01* +X134328285Y-100818905D01* +X134252094Y-100780810D01* +X134213999Y-100704619D01* +X134213999Y-100666524D01* +X134252094Y-100590333D01* +X134328285Y-100552238D01* +X134442570Y-100552238D01* +X134518761Y-100514143D01* +X134556856Y-100437952D01* +X134556856Y-100399857D01* +X134518761Y-100323667D01* +X134442570Y-100285571D01* +X134328285Y-100285571D01* +X134252094Y-100323667D01* +X133985428Y-100285571D02* +X133680666Y-100285571D01* +X133871142Y-100018905D02* +X133871142Y-100704619D01* +X133833047Y-100780810D01* +X133756856Y-100818905D01* +X133680666Y-100818905D01* +X133299713Y-100818905D02* +X133375904Y-100780810D01* +X133413999Y-100742714D01* +X133452094Y-100666524D01* +X133452094Y-100437952D01* +X133413999Y-100361762D01* +X133375904Y-100323667D01* +X133299713Y-100285571D01* +X133185427Y-100285571D01* +X133109237Y-100323667D01* +X133071142Y-100361762D01* +X133033046Y-100437952D01* +X133033046Y-100666524D01* +X133071142Y-100742714D01* +X133109237Y-100780810D01* +X133185427Y-100818905D01* +X133299713Y-100818905D01* +X132690189Y-100285571D02* +X132690189Y-101085571D01* +X132690189Y-100323667D02* +X132613998Y-100285571D01* +X132461617Y-100285571D01* +X132385427Y-100323667D01* +X132347332Y-100361762D01* +X132309236Y-100437952D01* +X132309236Y-100666524D01* +X132347332Y-100742714D01* +X132385427Y-100780810D01* +X132461617Y-100818905D01* +X132613998Y-100818905D01* +X132690189Y-100780810D01* +X147649288Y-98939381D02* +X147725478Y-98901286D01* +X147877859Y-98901286D01* +X147954050Y-98939381D01* +X148030240Y-99015571D01* +X148068335Y-99091762D01* +X148068335Y-99244143D01* +X148030240Y-99320333D01* +X147954050Y-99396524D01* +X147877859Y-99434619D01* +X147725478Y-99434619D01* +X147649288Y-99396524D01* +X147801669Y-98634619D02* +X147992145Y-98672714D01* +X148182621Y-98787000D01* +X148296907Y-98977476D01* +X148335002Y-99167952D01* +X148296907Y-99358429D01* +X148182621Y-99548905D01* +X147992145Y-99663190D01* +X147801669Y-99701286D01* +X147611193Y-99663190D01* +X147420716Y-99548905D01* +X147306431Y-99358429D01* +X147268335Y-99167952D01* +X147306431Y-98977476D01* +X147420716Y-98787000D01* +X147611193Y-98672714D01* +X147801669Y-98634619D01* +X145935001Y-99548905D02* +X146315954Y-99548905D01* +X146315954Y-98748905D01* +X145668335Y-99548905D02* +X145668335Y-99015571D01* +X145668335Y-98748905D02* +X145706430Y-98787000D01* +X145668335Y-98825095D01* +X145630240Y-98787000D01* +X145668335Y-98748905D01* +X145668335Y-98825095D01* +X145287383Y-99548905D02* +X145287383Y-98748905D01* +X145287383Y-99053667D02* +X145211192Y-99015571D01* +X145058811Y-99015571D01* +X144982621Y-99053667D01* +X144944526Y-99091762D01* +X144906430Y-99167952D01* +X144906430Y-99396524D01* +X144944526Y-99472714D01* +X144982621Y-99510810D01* +X145058811Y-99548905D01* +X145211192Y-99548905D01* +X145287383Y-99510810D01* +X144563573Y-99548905D02* +X144563573Y-99015571D01* +X144563573Y-99167952D02* +X144525478Y-99091762D01* +X144487382Y-99053667D01* +X144411192Y-99015571D01* +X144335001Y-99015571D01* +X143763573Y-99510810D02* +X143839763Y-99548905D01* +X143992144Y-99548905D01* +X144068335Y-99510810D01* +X144106430Y-99434619D01* +X144106430Y-99129857D01* +X144068335Y-99053667D01* +X143992144Y-99015571D01* +X143839763Y-99015571D01* +X143763573Y-99053667D01* +X143725478Y-99129857D01* +X143725478Y-99206048D01* +X144106430Y-99282238D01* +X142811192Y-99510810D02* +X142696906Y-99548905D01* +X142506430Y-99548905D01* +X142430240Y-99510810D01* +X142392144Y-99472714D01* +X142354049Y-99396524D01* +X142354049Y-99320333D01* +X142392144Y-99244143D01* +X142430240Y-99206048D01* +X142506430Y-99167952D01* +X142658811Y-99129857D01* +X142735002Y-99091762D01* +X142773097Y-99053667D01* +X142811192Y-98977476D01* +X142811192Y-98901286D01* +X142773097Y-98825095D01* +X142735002Y-98787000D01* +X142658811Y-98748905D01* +X142468335Y-98748905D01* +X142354049Y-98787000D01* +X142011192Y-99015571D02* +X142011192Y-99815571D01* +X142011192Y-99053667D02* +X141935001Y-99015571D01* +X141782620Y-99015571D01* +X141706430Y-99053667D01* +X141668335Y-99091762D01* +X141630239Y-99167952D01* +X141630239Y-99396524D01* +X141668335Y-99472714D01* +X141706430Y-99510810D01* +X141782620Y-99548905D01* +X141935001Y-99548905D01* +X142011192Y-99510810D01* +X140944525Y-99548905D02* +X140944525Y-99129857D01* +X140982620Y-99053667D01* +X141058810Y-99015571D01* +X141211191Y-99015571D01* +X141287382Y-99053667D01* +X140944525Y-99510810D02* +X141020715Y-99548905D01* +X141211191Y-99548905D01* +X141287382Y-99510810D01* +X141325477Y-99434619D01* +X141325477Y-99358429D01* +X141287382Y-99282238D01* +X141211191Y-99244143D01* +X141020715Y-99244143D01* +X140944525Y-99206048D01* +X140220715Y-99510810D02* +X140296905Y-99548905D01* +X140449286Y-99548905D01* +X140525477Y-99510810D01* +X140563572Y-99472714D01* +X140601667Y-99396524D01* +X140601667Y-99167952D01* +X140563572Y-99091762D01* +X140525477Y-99053667D01* +X140449286Y-99015571D01* +X140296905Y-99015571D01* +X140220715Y-99053667D01* +X139573096Y-99510810D02* +X139649286Y-99548905D01* +X139801667Y-99548905D01* +X139877858Y-99510810D01* +X139915953Y-99434619D01* +X139915953Y-99129857D01* +X139877858Y-99053667D01* +X139801667Y-99015571D01* +X139649286Y-99015571D01* +X139573096Y-99053667D01* +X139535001Y-99129857D01* +X139535001Y-99206048D01* +X139915953Y-99282238D01* +X138315953Y-99129857D02* +X138582620Y-99129857D01* +X138582620Y-99548905D02* +X138582620Y-98748905D01* +X138201667Y-98748905D01* +X137782620Y-99548905D02* +X137858811Y-99510810D01* +X137896906Y-99472714D01* +X137935001Y-99396524D01* +X137935001Y-99167952D01* +X137896906Y-99091762D01* +X137858811Y-99053667D01* +X137782620Y-99015571D01* +X137668334Y-99015571D01* +X137592144Y-99053667D01* +X137554049Y-99091762D01* +X137515953Y-99167952D01* +X137515953Y-99396524D01* +X137554049Y-99472714D01* +X137592144Y-99510810D01* +X137668334Y-99548905D01* +X137782620Y-99548905D01* +X136830239Y-99015571D02* +X136830239Y-99548905D01* +X137173096Y-99015571D02* +X137173096Y-99434619D01* +X137135001Y-99510810D01* +X137058810Y-99548905D01* +X136944524Y-99548905D01* +X136868334Y-99510810D01* +X136830239Y-99472714D01* +X136449286Y-99015571D02* +X136449286Y-99548905D01* +X136449286Y-99091762D02* +X136411191Y-99053667D01* +X136335000Y-99015571D01* +X136220714Y-99015571D01* +X136144524Y-99053667D01* +X136106429Y-99129857D01* +X136106429Y-99548905D01* +X135382619Y-99548905D02* +X135382619Y-98748905D01* +X135382619Y-99510810D02* +X135458809Y-99548905D01* +X135611190Y-99548905D01* +X135687381Y-99510810D01* +X135725476Y-99472714D01* +X135763571Y-99396524D01* +X135763571Y-99167952D01* +X135725476Y-99091762D01* +X135687381Y-99053667D01* +X135611190Y-99015571D01* +X135458809Y-99015571D01* +X135382619Y-99053667D01* +X134658809Y-99548905D02* +X134658809Y-99129857D01* +X134696904Y-99053667D01* +X134773094Y-99015571D01* +X134925475Y-99015571D01* +X135001666Y-99053667D01* +X134658809Y-99510810D02* +X134734999Y-99548905D01* +X134925475Y-99548905D01* +X135001666Y-99510810D01* +X135039761Y-99434619D01* +X135039761Y-99358429D01* +X135001666Y-99282238D01* +X134925475Y-99244143D01* +X134734999Y-99244143D01* +X134658809Y-99206048D01* +X134392142Y-99015571D02* +X134087380Y-99015571D01* +X134277856Y-98748905D02* +X134277856Y-99434619D01* +X134239761Y-99510810D01* +X134163570Y-99548905D01* +X134087380Y-99548905D01* +X133820713Y-99548905D02* +X133820713Y-99015571D01* +X133820713Y-98748905D02* +X133858808Y-98787000D01* +X133820713Y-98825095D01* +X133782618Y-98787000D01* +X133820713Y-98748905D01* +X133820713Y-98825095D01* +X133325475Y-99548905D02* +X133401666Y-99510810D01* +X133439761Y-99472714D01* +X133477856Y-99396524D01* +X133477856Y-99167952D01* +X133439761Y-99091762D01* +X133401666Y-99053667D01* +X133325475Y-99015571D01* +X133211189Y-99015571D01* +X133134999Y-99053667D01* +X133096904Y-99091762D01* +X133058808Y-99167952D01* +X133058808Y-99396524D01* +X133096904Y-99472714D01* +X133134999Y-99510810D01* +X133211189Y-99548905D01* +X133325475Y-99548905D01* +X132715951Y-99015571D02* +X132715951Y-99548905D01* +X132715951Y-99091762D02* +X132677856Y-99053667D01* +X132601665Y-99015571D01* +X132487379Y-99015571D01* +X132411189Y-99053667D01* +X132373094Y-99129857D01* +X132373094Y-99548905D01* +M02* diff --git a/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-Edge_Cuts.gbr b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-Edge_Cuts.gbr new file mode 100644 index 0000000..bcef958 --- /dev/null +++ b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-Edge_Cuts.gbr @@ -0,0 +1,21 @@ +G04 #@! TF.FileFunction,Profile,NP* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:05:43 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,0.150000*% +G04 APERTURE END LIST* +D10* +D11* +X179070000Y-98425000D02* +X132080000Y-98425000D01* +X179070000Y-112395000D02* +X179070000Y-98425000D01* +X132080000Y-112395000D02* +X179070000Y-112395000D01* +X132080000Y-98425000D02* +X132080000Y-112395000D01* +M02* diff --git a/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-F_Cu.gbr b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-F_Cu.gbr new file mode 100644 index 0000000..0881184 --- /dev/null +++ b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-F_Cu.gbr @@ -0,0 +1,34 @@ +G04 #@! TF.FileFunction,Copper,L1,Top,Signal* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:05:43 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11R,1.600000X1.600000*% +%ADD12C,1.600000*% +%ADD13R,2.000000X2.000000*% +%ADD14C,2.000000*% +%ADD15R,2.032000X1.727200*% +%ADD16O,2.032000X1.727200*% +G04 APERTURE END LIST* +D10* +D11* +X142240000Y-104140000D03* +D12* +X142240000Y-106680000D03* +D11* +X149860000Y-106680000D03* +D12* +X149860000Y-104140000D03* +D13* +X161925000Y-108585000D03* +D14* +X164465000Y-108585000D03* +D15* +X167640000Y-102870000D03* +D16* +X167640000Y-105410000D03* +X167640000Y-107950000D03* +M02* diff --git a/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-F_SilkS.gbr b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-F_SilkS.gbr new file mode 100644 index 0000000..f0d3f36 --- /dev/null +++ b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop-F_SilkS.gbr @@ -0,0 +1,156 @@ +G04 #@! TF.FileFunction,Legend,Top* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW 0.201509101502+6177~30~ubuntu14.04.1-product) date Παρ 18 Σεπ 2015 07:05:43 μμ EEST* +%MOMM*% +G01* +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,0.150000*% +G04 APERTURE END LIST* +D10* +D11* +X161726000Y-109899000D02* +X161726000Y-109699000D01* +X161726000Y-107305000D02* +X161726000Y-107485000D01* +X164953744Y-107615357D02* +G75* +G03X161726000Y-107299000I-1727744J-1003643D01* +G01* +X164278006Y-107485932D02* +G75* +G03X162175000Y-107485000I-1052006J-1133068D01* +G01* +X161738780Y-109925726D02* +G75* +G03X164976000Y-109579000I1497220J1306726D01* +G01* +X162212111Y-109698253D02* +G75* +G03X164260000Y-109679000I1013889J1079253D01* +G01* +X166340000Y-101320000D02* +X166340000Y-102870000D01* +X167640000Y-101320000D02* +X166340000Y-101320000D01* +X171831000Y-102743000D02* +X177673000Y-102743000D01* +X177673000Y-102743000D02* +X177673000Y-102997000D01* +X177673000Y-102997000D02* +X171831000Y-102997000D01* +X171831000Y-102997000D02* +X171831000Y-102870000D01* +X171831000Y-102870000D02* +X177673000Y-102870000D01* +X169164000Y-102616000D02* +X168783000Y-102616000D01* +X169164000Y-103124000D02* +X168783000Y-103124000D01* +X169164000Y-105156000D02* +X168783000Y-105156000D01* +X169164000Y-105664000D02* +X168783000Y-105664000D01* +X169164000Y-107696000D02* +X168783000Y-107696000D01* +X169164000Y-108204000D02* +X168783000Y-108204000D01* +X171704000Y-104140000D02* +X171704000Y-101600000D01* +X177800000Y-103124000D02* +X171704000Y-103124000D01* +X177800000Y-102616000D02* +X177800000Y-103124000D01* +X171704000Y-102616000D02* +X177800000Y-102616000D01* +X169164000Y-104140000D02* +X171704000Y-104140000D01* +X169164000Y-101600000D02* +X169164000Y-104140000D01* +X169164000Y-101600000D02* +X171704000Y-101600000D01* +X169164000Y-106680000D02* +X171704000Y-106680000D01* +X169164000Y-106680000D02* +X169164000Y-109220000D01* +X171704000Y-107696000D02* +X177800000Y-107696000D01* +X177800000Y-107696000D02* +X177800000Y-108204000D01* +X177800000Y-108204000D02* +X171704000Y-108204000D01* +X171704000Y-109220000D02* +X171704000Y-106680000D01* +X171704000Y-106680000D02* +X171704000Y-104140000D01* +X177800000Y-105664000D02* +X171704000Y-105664000D01* +X177800000Y-105156000D02* +X177800000Y-105664000D01* +X171704000Y-105156000D02* +X177800000Y-105156000D01* +X169164000Y-106680000D02* +X171704000Y-106680000D01* +X169164000Y-104140000D02* +X169164000Y-106680000D01* +X169164000Y-104140000D02* +X171704000Y-104140000D01* +X169164000Y-109220000D02* +X171704000Y-109220000D01* +X157226095Y-108926381D02* +X157226095Y-109735905D01* +X157273714Y-109831143D01* +X157321333Y-109878762D01* +X157416571Y-109926381D01* +X157607048Y-109926381D01* +X157702286Y-109878762D01* +X157749905Y-109831143D01* +X157797524Y-109735905D01* +X157797524Y-108926381D01* +X158797524Y-109926381D02* +X158226095Y-109926381D01* +X158511809Y-109926381D02* +X158511809Y-108926381D01* +X158416571Y-109069238D01* +X158321333Y-109164476D01* +X158226095Y-109212095D01* +X164234905Y-112085381D02* +X164234905Y-111085381D01* +X164473000Y-111085381D01* +X164615858Y-111133000D01* +X164711096Y-111228238D01* +X164758715Y-111323476D01* +X164806334Y-111513952D01* +X164806334Y-111656810D01* +X164758715Y-111847286D01* +X164711096Y-111942524D01* +X164615858Y-112037762D01* +X164473000Y-112085381D01* +X164234905Y-112085381D01* +X165758715Y-112085381D02* +X165187286Y-112085381D01* +X165473000Y-112085381D02* +X165473000Y-111085381D01* +X165377762Y-111228238D01* +X165282524Y-111323476D01* +X165187286Y-111371095D01* +X166266905Y-111069381D02* +X166266905Y-110069381D01* +X166647858Y-110069381D01* +X166743096Y-110117000D01* +X166790715Y-110164619D01* +X166838334Y-110259857D01* +X166838334Y-110402714D01* +X166790715Y-110497952D01* +X166743096Y-110545571D01* +X166647858Y-110593190D01* +X166266905Y-110593190D01* +X167790715Y-111069381D02* +X167219286Y-111069381D01* +X167505000Y-111069381D02* +X167505000Y-110069381D01* +X167409762Y-110212238D01* +X167314524Y-110307476D01* +X167219286Y-110355095D01* +M02* diff --git a/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop.drl b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop.drl new file mode 100644 index 0000000..50a0196 --- /dev/null +++ b/PCB/SatNOGS_EndStop/Gerber/SatNOGS_EndStop.drl @@ -0,0 +1,26 @@ +M48 +;DRILL file {KiCad 0.201509101502+6177~30~ubuntu14.04.1-product} date Παρ 18 Σεπ 2015 07:05:40 μμ EEST +;FORMAT={-:-/ absolute / metric / decimal} +FMAT,2 +METRIC,TZ +T1C0.500 +T2C1.001 +T3C1.016 +% +G90 +G05 +M71 +T1 +X142.24Y-104.14 +X142.24Y-106.68 +X149.86Y-104.14 +X149.86Y-106.68 +T2 +X161.925Y-108.585 +X164.465Y-108.585 +T3 +X167.64Y-102.87 +X167.64Y-105.41 +X167.64Y-107.95 +T0 +M30 diff --git a/PCB/SatNOGS_EndStop/SatNOGS_EndStop-cache.lib b/PCB/SatNOGS_EndStop/SatNOGS_EndStop-cache.lib new file mode 100644 index 0000000..8cc886d --- /dev/null +++ b/PCB/SatNOGS_EndStop/SatNOGS_EndStop-cache.lib @@ -0,0 +1,138 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# +5V +# +DEF +5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "+5V" 0 140 50 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# CONN_01X03 +# +DEF CONN_01X03 P 0 40 Y N 1 F N +F0 "P" 0 200 50 H V C CNN +F1 "CONN_01X03" 100 0 50 V V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +$FPLIST + Pin_Header_Straight_1X03 + Pin_Header_Angled_1X03 + Socket_Strip_Straight_1X03 + Socket_Strip_Angled_1X03 +$ENDFPLIST +DRAW +S -50 -95 10 -105 0 1 0 N +S -50 5 10 -5 0 1 0 N +S -50 105 10 95 0 1 0 N +S -50 150 50 -150 0 1 0 N +X P1 1 -200 100 150 R 50 50 1 1 P +X P2 2 -200 0 150 R 50 50 1 1 P +X P3 3 -200 -100 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GND +# +DEF GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "GND" 0 -150 50 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# LED +# +DEF LED D 0 40 Y N 1 F N +F0 "D" 0 100 50 H V C CNN +F1 "LED" 0 -100 50 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +$FPLIST + LED-3MM + LED-5MM + LED-10MM + LED-0603 + LED-0805 + LED-1206 + LEDV +$ENDFPLIST +DRAW +P 2 0 1 0 -50 50 -50 -50 N +P 3 0 1 0 -80 -25 -125 -65 -120 -40 N +P 3 0 1 0 -65 -40 -110 -80 -105 -55 N +P 3 0 1 0 50 50 -50 0 50 -50 F +X K 1 -200 0 150 R 40 40 1 1 P +X A 2 200 0 150 L 40 40 1 1 P +ENDDRAW +ENDDEF +# +# PWR_FLAG +# +DEF PWR_FLAG #FLG 0 0 N N 1 F P +F0 "#FLG" 0 95 50 H I C CNN +F1 "PWR_FLAG" 0 180 50 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +X pwr 1 0 0 0 U 20 20 0 0 w +P 6 0 1 0 0 0 0 50 -75 100 0 150 75 100 0 50 N +ENDDRAW +ENDDEF +# +# R +# +DEF R R 0 0 N Y 1 F N +F0 "R" 80 0 50 V V C CNN +F1 "R" 0 0 50 V V C CNN +F2 "" -70 0 30 V V C CNN +F3 "" 0 0 30 H V C CNN +$FPLIST + R_* + Resistor_* +$ENDFPLIST +DRAW +S -40 -100 40 100 0 1 10 N +X ~ 1 0 150 50 D 60 60 1 1 P +X ~ 2 0 -150 50 U 60 60 1 1 P +ENDDRAW +ENDDEF +# +# TCST2103 +# +DEF TCST2103 U 0 40 Y Y 1 F N +F0 "U" 250 -300 60 H V C BNN +F1 "TCST2103" 0 300 60 H V C TNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -350 200 300 -200 0 1 0 f +P 2 0 1 0 -200 -50 -100 -50 N +P 2 0 1 0 -150 -50 -150 -100 N +P 2 0 1 0 -150 50 -150 100 N +P 2 0 1 0 150 50 150 -50 N +P 2 0 1 0 195 35 185 45 N +P 3 0 1 0 150 0 200 -50 200 -100 N +P 3 0 1 0 150 0 200 50 200 100 N +P 4 0 1 0 -200 50 -100 50 -150 -50 -200 50 N +P 5 0 1 0 200 50 180 45 195 30 200 50 190 40 N +X + 1 -550 150 200 R 50 50 1 1 I +X E 2 -550 -150 200 R 50 50 1 1 I +X + 3 500 -150 200 L 50 50 1 1 I +X D 4 500 150 200 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +#End Library diff --git a/PCB/SatNOGS_EndStop/SatNOGS_EndStop.kicad_pcb b/PCB/SatNOGS_EndStop/SatNOGS_EndStop.kicad_pcb new file mode 100644 index 0000000..31c040c --- /dev/null +++ b/PCB/SatNOGS_EndStop/SatNOGS_EndStop.kicad_pcb @@ -0,0 +1,523 @@ +(kicad_pcb (version 4) (host pcbnew 0.201509101502+6177~30~ubuntu14.04.1-product) + + (general + (links 10) + (no_connects 0) + (area 132.004999 98.349999 179.145001 112.508) + (thickness 1.6) + (drawings 4) + (tracks 40) + (zones 0) + (modules 7) + (nets 6) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.6) + (trace_clearance 0.1) + (zone_clearance 0.2) + (zone_45_only yes) + (trace_min 0.2) + (segment_width 0.2) + (edge_width 0.15) + (via_size 0.6) + (via_drill 0.4) + (via_min_size 0.4) + (via_min_drill 0.3) + (blind_buried_vias_allowed yes) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed yes) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.524 1.524) + (pad_drill 0.762) + (pad_to_mask_clearance 0.2) + (aux_axis_origin 0 0) + (visible_elements FFFFFF7F) + (pcbplotparams + (layerselection 0x01030_80000001) + (usegerberextensions false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin true) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15) + (hpglpenoverlay 2) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk false) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory Gerber/)) + ) + + (net 0 "") + (net 1 "Net-(D1-Pad1)") + (net 2 GND) + (net 3 +5V) + (net 4 "Net-(R1-Pad2)") + (net 5 /Signal) + + (net_class Default "This is the default net class." + (clearance 0.1) + (trace_width 0.6) + (via_dia 0.6) + (via_drill 0.4) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +5V) + (add_net /Signal) + (add_net GND) + (add_net "Net-(D1-Pad1)") + (add_net "Net-(R1-Pad2)") + ) + + (module satnogs:TCST2103 (layer F.Cu) (tedit 55FC2EA7) (tstamp 55BE48D6) + (at 146.05 105.41) + (path /55BCD149) + (fp_text reference U1 (at 11.938 4.064) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TCST2103 (at -8.89 -5.08) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -9.525 0) (end -11.175 0) (layer F.CrtYd) (width 0.15)) + (fp_circle (center 9.525 0) (end 11.175 0) (layer F.CrtYd) (width 0.15)) + (fp_line (start -1.5875 -3.1115) (end -1.5875 3.2385) (layer F.CrtYd) (width 0.15)) + (fp_line (start 1.5875 -3.1115) (end 1.5875 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start -5.969 -3.1115) (end -5.969 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start 5.969 0) (end 5.969 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start 5.969 0) (end 5.969 -3.1115) (layer F.CrtYd) (width 0.15)) + (fp_line (start -12.7 -3.175) (end -12.7 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start 12.7 -3.175) (end 12.7 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start 12.7 3.175) (end -12.7 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start -12.7 -3.175) (end 12.7 -3.175) (layer F.CrtYd) (width 0.15)) + (pad 1 thru_hole rect (at -3.81 -1.27) (size 1.6 1.6) (drill 0.5) (layers *.Cu *.Mask F.SilkS) + (net 4 "Net-(R1-Pad2)")) + (pad 2 thru_hole circle (at -3.81 1.27) (size 1.6 1.6) (drill 0.5) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad 3 thru_hole rect (at 3.81 1.27) (size 1.6 1.6) (drill 0.5) (layers *.Cu *.Mask F.SilkS) + (net 5 /Signal)) + (pad 4 thru_hole circle (at 3.81 -1.27) (size 1.6 1.6) (drill 0.5) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + ) + + (module LEDs:LED-3MM (layer F.Cu) (tedit 55FC2E94) (tstamp 55BE48B5) + (at 161.925 108.585) + (descr "LED 3mm round vertical") + (tags "LED 3mm round vertical") + (path /55BCD94A) + (fp_text reference D1 (at 3.048 3.048) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value LED (at 1.3 -2.9) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.2 2.3) (end 3.8 2.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.8 2.3) (end 3.8 -2.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.8 -2.2) (end -1.2 -2.2) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.2 -2.2) (end -1.2 2.3) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.199 1.314) (end -0.199 1.114) (layer F.SilkS) (width 0.15)) + (fp_line (start -0.199 -1.28) (end -0.199 -1.1) (layer F.SilkS) (width 0.15)) + (fp_arc (start 1.301 0.034) (end -0.199 -1.286) (angle 108.5) (layer F.SilkS) (width 0.15)) + (fp_arc (start 1.301 0.034) (end 0.25 -1.1) (angle 85.7) (layer F.SilkS) (width 0.15)) + (fp_arc (start 1.311 0.034) (end 3.051 0.994) (angle 110) (layer F.SilkS) (width 0.15)) + (fp_arc (start 1.301 0.034) (end 2.335 1.094) (angle 87.5) (layer F.SilkS) (width 0.15)) + (fp_text user K (at -1.905 0.635) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (pad 1 thru_hole rect (at 0 0 90) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask F.SilkS) + (net 1 "Net-(D1-Pad1)")) + (pad 2 thru_hole circle (at 2.54 0) (size 2 2) (drill 1.00076) (layers *.Cu *.Mask F.SilkS) + (net 5 /Signal)) + (model LEDs.3dshapes/LED-3MM.wrl + (at (xyz 0.05 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Pin_Headers:Pin_Header_Angled_1x03 (layer F.Cu) (tedit 55FC2E84) (tstamp 55BE48BC) + (at 167.64 102.87) + (descr "Through hole pin header") + (tags "pin header") + (path /55BE41ED) + (fp_text reference P1 (at -0.635 7.747) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value CONN_01X03 (at 4.445 -3.175) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_line (start -1.5 -1.75) (end -1.5 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start 10.65 -1.75) (end 10.65 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.5 -1.75) (end 10.65 -1.75) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.5 6.85) (end 10.65 6.85) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.3 -1.55) (end -1.3 0) (layer F.SilkS) (width 0.15)) + (fp_line (start 0 -1.55) (end -1.3 -1.55) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.191 -0.127) (end 10.033 -0.127) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.033 -0.127) (end 10.033 0.127) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.033 0.127) (end 4.191 0.127) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.191 0.127) (end 4.191 0) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.191 0) (end 10.033 0) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 -0.254) (end 1.143 -0.254) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 0.254) (end 1.143 0.254) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 2.286) (end 1.143 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 2.794) (end 1.143 2.794) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 4.826) (end 1.143 4.826) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 5.334) (end 1.143 5.334) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.064 1.27) (end 4.064 -1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 0.254) (end 4.064 0.254) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 -0.254) (end 10.16 0.254) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.064 -0.254) (end 10.16 -0.254) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 1.27) (end 4.064 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 -1.27) (end 1.524 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 -1.27) (end 4.064 -1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 3.81) (end 4.064 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 3.81) (end 1.524 6.35) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.064 4.826) (end 10.16 4.826) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 4.826) (end 10.16 5.334) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 5.334) (end 4.064 5.334) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.064 6.35) (end 4.064 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.064 3.81) (end 4.064 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 2.794) (end 4.064 2.794) (layer F.SilkS) (width 0.15)) + (fp_line (start 10.16 2.286) (end 10.16 2.794) (layer F.SilkS) (width 0.15)) + (fp_line (start 4.064 2.286) (end 10.16 2.286) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 3.81) (end 4.064 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 1.27) (end 1.524 3.81) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 1.27) (end 4.064 1.27) (layer F.SilkS) (width 0.15)) + (fp_line (start 1.524 6.35) (end 4.064 6.35) (layer F.SilkS) (width 0.15)) + (pad 1 thru_hole rect (at 0 0) (size 2.032 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 3 +5V)) + (pad 2 thru_hole oval (at 0 2.54) (size 2.032 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 2 GND)) + (pad 3 thru_hole oval (at 0 5.08) (size 2.032 1.7272) (drill 1.016) (layers *.Cu *.Mask F.SilkS) + (net 5 /Signal)) + (model Pin_Headers.3dshapes/Pin_Header_Angled_1x03.wrl + (at (xyz 0 -0.1 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 90)) + ) + ) + + (module Resistors_SMD:R_0805_HandSoldering (layer B.Cu) (tedit 55FC2ED8) (tstamp 55BE48C2) + (at 146.05 102.235 270) + (descr "Resistor SMD 0805, hand soldering") + (tags "resistor 0805") + (path /55BCD813) + (attr smd) + (fp_text reference R1 (at 0 -1.905 270) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 180 (at 0 -2.1 270) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -2.4 1) (end 2.4 1) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.4 -1) (end 2.4 -1) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.4 1) (end -2.4 -1) (layer B.CrtYd) (width 0.05)) + (fp_line (start 2.4 1) (end 2.4 -1) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.6 -0.875) (end -0.6 -0.875) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.6 0.875) (end 0.6 0.875) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.35 0 270) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask) + (net 3 +5V)) + (pad 2 smd rect (at 1.35 0 270) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask) + (net 4 "Net-(R1-Pad2)")) + (model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0805_HandSoldering (layer B.Cu) (tedit 55FC2EDB) (tstamp 55BE48C8) + (at 146.05 108.585 90) + (descr "Resistor SMD 0805, hand soldering") + (tags "resistor 0805") + (path /55BCD89E) + (attr smd) + (fp_text reference R2 (at 0 1.905 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 1k5 (at 0 -2.1 90) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -2.4 1) (end 2.4 1) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.4 -1) (end 2.4 -1) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.4 1) (end -2.4 -1) (layer B.CrtYd) (width 0.05)) + (fp_line (start 2.4 1) (end 2.4 -1) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.6 -0.875) (end -0.6 -0.875) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.6 0.875) (end 0.6 0.875) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.35 0 90) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask) + (net 3 +5V)) + (pad 2 smd rect (at 1.35 0 90) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask) + (net 5 /Signal)) + (model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Resistors_SMD:R_0805_HandSoldering (layer B.Cu) (tedit 55FC2EE1) (tstamp 55BE48CE) + (at 160.655 103.505 90) + (descr "Resistor SMD 0805, hand soldering") + (tags "resistor 0805") + (path /55BCD8ED) + (attr smd) + (fp_text reference R3 (at 0 2.032 90) (layer B.SilkS) + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_text value 1k (at 0 -2.1 90) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start -2.4 1) (end 2.4 1) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.4 -1) (end 2.4 -1) (layer B.CrtYd) (width 0.05)) + (fp_line (start -2.4 1) (end -2.4 -1) (layer B.CrtYd) (width 0.05)) + (fp_line (start 2.4 1) (end 2.4 -1) (layer B.CrtYd) (width 0.05)) + (fp_line (start 0.6 -0.875) (end -0.6 -0.875) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.6 0.875) (end 0.6 0.875) (layer B.SilkS) (width 0.15)) + (pad 1 smd rect (at -1.35 0 90) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask) + (net 1 "Net-(D1-Pad1)")) + (pad 2 smd rect (at 1.35 0 90) (size 1.5 1.3) (layers B.Cu B.Paste B.Mask) + (net 2 GND)) + (model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module Symbols:Symbol_OSHW-Logo_SilkScreen (layer B.Cu) (tedit 55FC3109) (tstamp 55FC3003) + (at 136.525 105.41 180) + (descr "Symbol, OSHW-Logo, Silk Screen,") + (tags "Symbol, OSHW-Logo, Silk Screen,") + (fp_text reference "SatNOGS Endstop" (at -0.889 4.953 180) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15)) (justify mirror)) + ) + (fp_text value "© Libre Space Foundation" (at -3.81 6.223 180) (layer B.SilkS) + (effects (font (size 0.8 0.8) (thickness 0.15)) (justify mirror)) + ) + (fp_line (start 1.66878 -2.68986) (end 2.02946 -4.16052) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.02946 -4.16052) (end 2.30886 -3.0988) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.30886 -3.0988) (end 2.61874 -4.17068) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.61874 -4.17068) (end 2.9591 -2.72034) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.24892 -3.38074) (end 1.03886 -3.37058) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.03886 -3.37058) (end 1.04902 -3.38074) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.04902 -3.38074) (end 1.04902 -3.37058) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.08966 -2.65938) (end 1.08966 -4.20116) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.20066 -2.64922) (end 0.20066 -4.21894) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.20066 -4.21894) (end 0.21082 -4.20878) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.35052 -2.75082) (end -0.70104 -2.66954) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.70104 -2.66954) (end -1.02108 -2.65938) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.02108 -2.65938) (end -1.25984 -2.86004) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.25984 -2.86004) (end -1.29032 -3.12928) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.29032 -3.12928) (end -1.04902 -3.37058) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.04902 -3.37058) (end -0.6604 -3.50012) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.6604 -3.50012) (end -0.48006 -3.66014) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.48006 -3.66014) (end -0.43942 -3.95986) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.43942 -3.95986) (end -0.67056 -4.18084) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.67056 -4.18084) (end -0.9906 -4.20878) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.9906 -4.20878) (end -1.34112 -4.09956) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.37998 -2.64922) (end -2.6289 -2.66954) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.6289 -2.66954) (end -2.8702 -2.91084) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.8702 -2.91084) (end -2.9591 -3.40106) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.9591 -3.40106) (end -2.93116 -3.74904) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.93116 -3.74904) (end -2.7305 -4.06908) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.7305 -4.06908) (end -2.47904 -4.191) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.47904 -4.191) (end -2.16916 -4.11988) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.16916 -4.11988) (end -1.95072 -3.93954) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.95072 -3.93954) (end -1.8796 -3.4798) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.8796 -3.4798) (end -1.9304 -3.07086) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.9304 -3.07086) (end -2.03962 -2.78892) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.03962 -2.78892) (end -2.4003 -2.65938) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.78054 -0.92964) (end -2.03962 -1.49098) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.03962 -1.49098) (end -1.50114 -2.00914) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.50114 -2.00914) (end -0.98044 -1.7399) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.98044 -1.7399) (end -0.70104 -1.89992) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.73914 -1.8796) (end 1.06934 -1.6891) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.06934 -1.6891) (end 1.50876 -2.0193) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.50876 -2.0193) (end 1.9812 -1.52908) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.9812 -1.52908) (end 1.69926 -1.04902) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.69926 -1.04902) (end 1.88976 -0.57912) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.88976 -0.57912) (end 2.49936 -0.39116) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.49936 -0.39116) (end 2.49936 0.28956) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.49936 0.28956) (end 1.94056 0.42926) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.94056 0.42926) (end 1.7399 1.00076) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.7399 1.00076) (end 2.00914 1.47066) (layer B.SilkS) (width 0.15)) + (fp_line (start 2.00914 1.47066) (end 1.53924 1.9812) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.53924 1.9812) (end 1.02108 1.71958) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.02108 1.71958) (end 0.55118 1.92024) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.55118 1.92024) (end 0.381 2.46126) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.381 2.46126) (end -0.30988 2.47904) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.30988 2.47904) (end -0.5207 1.9304) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.5207 1.9304) (end -0.9398 1.76022) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.9398 1.76022) (end -1.49098 2.02946) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.49098 2.02946) (end -2.00914 1.50114) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.00914 1.50114) (end -1.76022 0.96012) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.76022 0.96012) (end -1.9304 0.48006) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.9304 0.48006) (end -2.47904 0.381) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.47904 0.381) (end -2.4892 -0.32004) (layer B.SilkS) (width 0.15)) + (fp_line (start -2.4892 -0.32004) (end -1.9304 -0.5207) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.9304 -0.5207) (end -1.7907 -0.91948) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.35052 -0.89916) (end 0.65024 -0.7493) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.65024 -0.7493) (end 0.8509 -0.55118) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.8509 -0.55118) (end 1.00076 -0.14986) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.00076 -0.14986) (end 1.00076 0.24892) (layer B.SilkS) (width 0.15)) + (fp_line (start 1.00076 0.24892) (end 0.8509 0.59944) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.8509 0.59944) (end 0.39878 0.94996) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.39878 0.94996) (end -0.0508 1.00076) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.0508 1.00076) (end -0.44958 0.89916) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.44958 0.89916) (end -0.8509 0.55118) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.8509 0.55118) (end -1.00076 0.09906) (layer B.SilkS) (width 0.15)) + (fp_line (start -1.00076 0.09906) (end -0.94996 -0.39878) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.94996 -0.39878) (end -0.70104 -0.70104) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.70104 -0.70104) (end -0.35052 -0.89916) (layer B.SilkS) (width 0.15)) + (fp_line (start -0.35052 -0.89916) (end -0.70104 -1.89992) (layer B.SilkS) (width 0.15)) + (fp_line (start 0.35052 -0.89916) (end 0.7493 -1.89992) (layer B.SilkS) (width 0.15)) + ) + + (gr_line (start 179.07 98.425) (end 132.08 98.425) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 179.07 112.395) (end 179.07 98.425) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 132.08 112.395) (end 179.07 112.395) (angle 90) (layer Edge.Cuts) (width 0.15)) + (gr_line (start 132.08 98.425) (end 132.08 112.395) (angle 90) (layer Edge.Cuts) (width 0.15)) + + (segment (start 160.655 104.855) (end 160.655 106.045) (width 0.6) (layer B.Cu) (net 1)) + (segment (start 161.925 107.315) (end 161.925 108.585) (width 0.6) (layer B.Cu) (net 1) (tstamp 55E5B0F9)) + (segment (start 160.655 106.045) (end 161.925 107.315) (width 0.6) (layer B.Cu) (net 1) (tstamp 55E5B0F8)) + (segment (start 161.925 108.585) (end 161.925 107.95) (width 0.6) (layer B.Cu) (net 1)) + (segment (start 160.655 102.155) (end 161.21 102.155) (width 0.6) (layer B.Cu) (net 2)) + (segment (start 161.21 102.155) (end 164.465 105.41) (width 0.6) (layer B.Cu) (net 2) (tstamp 55E5B0FC)) + (segment (start 164.465 105.41) (end 167.64 105.41) (width 0.6) (layer B.Cu) (net 2) (tstamp 55E5B0FD)) + (segment (start 149.86 104.14) (end 151.13 104.14) (width 0.6) (layer B.Cu) (net 2)) + (segment (start 153.67 101.6) (end 160.1 101.6) (width 0.6) (layer B.Cu) (net 2) (tstamp 55E5B0F3)) + (segment (start 151.13 104.14) (end 153.67 101.6) (width 0.6) (layer B.Cu) (net 2) (tstamp 55E5B0F2)) + (segment (start 160.1 101.6) (end 160.655 102.155) (width 0.6) (layer B.Cu) (net 2) (tstamp 55E5B0F5)) + (segment (start 142.24 106.68) (end 143.51 106.68) (width 0.6) (layer B.Cu) (net 2)) + (segment (start 147.955 105.41) (end 149.225 104.14) (width 0.6) (layer B.Cu) (net 2) (tstamp 55BE531D)) + (segment (start 144.78 105.41) (end 147.955 105.41) (width 0.6) (layer B.Cu) (net 2) (tstamp 55BE531A)) + (segment (start 143.51 106.68) (end 144.78 105.41) (width 0.6) (layer B.Cu) (net 2) (tstamp 55BE5318)) + (segment (start 149.225 104.14) (end 149.86 104.14) (width 0.6) (layer B.Cu) (net 2) (tstamp 55BE5320)) + (segment (start 146.05 109.935) (end 141.05 109.935) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 141.685 100.885) (end 146.05 100.885) (width 0.6) (layer B.Cu) (net 3) (tstamp 55E5B0B2)) + (segment (start 140.335 102.235) (end 141.685 100.885) (width 0.6) (layer B.Cu) (net 3) (tstamp 55E5B0B1)) + (segment (start 140.335 109.22) (end 140.335 102.235) (width 0.6) (layer B.Cu) (net 3) (tstamp 55E5B0B0)) + (segment (start 141.05 109.935) (end 140.335 109.22) (width 0.6) (layer B.Cu) (net 3) (tstamp 55E5B0AF)) + (segment (start 146.05 100.885) (end 149.305 100.885) (width 0.6) (layer B.Cu) (net 3)) + (segment (start 165.1 102.87) (end 167.64 102.87) (width 0.6) (layer B.Cu) (net 3) (tstamp 55E5B0A8)) + (segment (start 161.925 99.695) (end 165.1 102.87) (width 0.6) (layer B.Cu) (net 3) (tstamp 55E5B0A6)) + (segment (start 150.495 99.695) (end 161.925 99.695) (width 0.6) (layer B.Cu) (net 3) (tstamp 55E5B0A5)) + (segment (start 149.305 100.885) (end 150.495 99.695) (width 0.6) (layer B.Cu) (net 3) (tstamp 55E5B0A4)) + (segment (start 142.24 104.14) (end 144.145 104.14) (width 0.6) (layer B.Cu) (net 4)) + (segment (start 144.7 103.585) (end 146.05 103.585) (width 0.6) (layer B.Cu) (net 4) (tstamp 55E5B0A1)) + (segment (start 144.145 104.14) (end 144.7 103.585) (width 0.6) (layer B.Cu) (net 4) (tstamp 55E5B0A0)) + (segment (start 164.465 108.585) (end 165.735 108.585) (width 0.6) (layer B.Cu) (net 5)) + (segment (start 166.37 107.95) (end 167.64 107.95) (width 0.6) (layer B.Cu) (net 5) (tstamp 55E5B11C)) + (segment (start 165.735 108.585) (end 166.37 107.95) (width 0.6) (layer B.Cu) (net 5) (tstamp 55E5B118)) + (segment (start 149.86 106.68) (end 147.955 106.68) (width 0.6) (layer B.Cu) (net 5)) + (segment (start 147.4 107.235) (end 146.05 107.235) (width 0.6) (layer B.Cu) (net 5) (tstamp 55E5B09D)) + (segment (start 147.955 106.68) (end 147.4 107.235) (width 0.6) (layer B.Cu) (net 5) (tstamp 55E5B09C)) + (segment (start 164.465 108.585) (end 164.465 110.49) (width 0.6) (layer B.Cu) (net 5)) + (segment (start 149.86 110.49) (end 149.86 106.68) (width 0.6) (layer B.Cu) (net 5) (tstamp 55BE566C)) + (segment (start 150.495 111.125) (end 149.86 110.49) (width 0.6) (layer B.Cu) (net 5) (tstamp 55BE5669)) + (segment (start 163.83 111.125) (end 150.495 111.125) (width 0.6) (layer B.Cu) (net 5) (tstamp 55BE5662)) + (segment (start 164.465 110.49) (end 163.83 111.125) (width 0.6) (layer B.Cu) (net 5) (tstamp 55BE565A)) + + (zone (net 2) (net_name GND) (layer B.Cu) (tstamp 55F2973E) (hatch edge 0.508) + (connect_pads (clearance 0.8)) + (min_thickness 0.254) + (fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508) (smoothing chamfer)) + (polygon + (pts + (xy 179.07 112.395) (xy 132.08 112.395) (xy 132.08 98.425) (xy 179.07 98.425) (xy 179.07 112.395) + ) + ) + (filled_polygon + (pts + (xy 178.068 111.393) (xy 165.29724 111.393) (xy 165.33262 111.35762) (xy 165.598601 110.959552) (xy 165.692 110.49) + (xy 165.692 110.082954) (xy 166.02027 109.755256) (xy 166.204553 109.7186) (xy 166.569959 109.474443) (xy 166.764301 109.604299) + (xy 167.449534 109.7406) (xy 167.830466 109.7406) (xy 168.515699 109.604299) (xy 169.096611 109.216145) (xy 169.484765 108.635233) + (xy 169.621066 107.95) (xy 169.484765 107.264767) (xy 169.096611 106.683855) (xy 168.79794 106.484289) (xy 168.990732 106.312036) + (xy 169.244709 105.784791) (xy 169.247358 105.769026) (xy 169.126217 105.537) (xy 167.767 105.537) (xy 167.767 105.557) + (xy 167.513 105.557) (xy 167.513 105.537) (xy 166.153783 105.537) (xy 166.032642 105.769026) (xy 166.035291 105.784791) + (xy 166.289268 106.312036) (xy 166.48206 106.484289) (xy 166.183389 106.683855) (xy 166.12462 106.771809) (xy 165.900448 106.816399) + (xy 165.613617 107.008053) (xy 165.557983 106.952322) (xy 164.849986 106.658335) (xy 164.083378 106.657666) (xy 163.539017 106.882591) + (xy 163.292721 106.714304) (xy 162.925 106.639839) (xy 162.921217 106.639839) (xy 162.79262 106.44738) (xy 162.199654 105.854414) + (xy 162.250161 105.605) (xy 162.250161 104.105) (xy 162.185522 103.761474) (xy 161.982499 103.445967) (xy 161.792131 103.315894) + (xy 161.843327 103.264698) (xy 161.94 103.031309) (xy 161.94 102.44075) (xy 161.78125 102.282) (xy 160.782 102.282) + (xy 160.782 102.302) (xy 160.528 102.302) (xy 160.528 102.282) (xy 159.52875 102.282) (xy 159.37 102.44075) + (xy 159.37 103.031309) (xy 159.466673 103.264698) (xy 159.518472 103.316497) (xy 159.345967 103.427501) (xy 159.134304 103.737279) + (xy 159.059839 104.105) (xy 159.059839 105.605) (xy 159.124478 105.948526) (xy 159.327501 106.264033) (xy 159.494229 106.377954) + (xy 159.5214 106.514553) (xy 159.77573 106.895184) (xy 159.78738 106.91262) (xy 160.069621 107.194861) (xy 160.054304 107.217279) + (xy 159.979839 107.585) (xy 159.979839 109.585) (xy 160.038734 109.898) (xy 151.087 109.898) (xy 151.087 108.306808) + (xy 151.319033 108.157499) (xy 151.530696 107.847721) (xy 151.605161 107.48) (xy 151.605161 105.88) (xy 151.540522 105.536474) + (xy 151.337499 105.220967) (xy 151.027721 105.009304) (xy 150.878743 104.979135) (xy 150.867747 104.968139) (xy 151.113864 104.894005) + (xy 151.306965 104.356777) (xy 151.279778 103.786546) (xy 151.113864 103.385995) (xy 150.867745 103.311861) (xy 150.039605 104.14) + (xy 150.053748 104.154142) (xy 149.874142 104.333748) (xy 149.86 104.319605) (xy 149.845858 104.333748) (xy 149.666252 104.154142) + (xy 149.680395 104.14) (xy 148.852255 103.311861) (xy 148.606136 103.385995) (xy 148.413035 103.923223) (xy 148.440222 104.493454) + (xy 148.606136 104.894005) (xy 148.852253 104.968139) (xy 148.845121 104.975271) (xy 148.716474 104.999478) (xy 148.400967 105.202501) + (xy 148.229808 105.453) (xy 147.955 105.453) (xy 147.485448 105.546399) (xy 147.224006 105.721089) (xy 147.067721 105.614304) + (xy 146.7 105.539839) (xy 145.4 105.539839) (xy 145.056474 105.604478) (xy 144.740967 105.807501) (xy 144.529304 106.117279) + (xy 144.454839 106.485) (xy 144.454839 107.985) (xy 144.519478 108.328526) (xy 144.686212 108.587638) (xy 144.603971 108.708) + (xy 141.562 108.708) (xy 141.562 107.961183) (xy 142.023223 108.126965) (xy 142.593454 108.099778) (xy 142.994005 107.933864) + (xy 143.068139 107.687745) (xy 142.24 106.859605) (xy 142.225858 106.873748) (xy 142.046252 106.694142) (xy 142.060395 106.68) + (xy 142.046252 106.665858) (xy 142.225858 106.486252) (xy 142.24 106.500395) (xy 142.254142 106.486252) (xy 142.433748 106.665858) + (xy 142.419605 106.68) (xy 143.247745 107.508139) (xy 143.493864 107.434005) (xy 143.686965 106.896777) (xy 143.659778 106.326546) + (xy 143.493864 105.925995) (xy 143.247747 105.851861) (xy 143.254879 105.844729) (xy 143.383526 105.820522) (xy 143.699033 105.617499) + (xy 143.870192 105.367) (xy 144.145 105.367) (xy 144.614553 105.2736) (xy 144.875994 105.098911) (xy 145.032279 105.205696) + (xy 145.4 105.280161) (xy 146.7 105.280161) (xy 147.043526 105.215522) (xy 147.359033 105.012499) (xy 147.570696 104.702721) + (xy 147.645161 104.335) (xy 147.645161 103.132255) (xy 149.031861 103.132255) (xy 149.86 103.960395) (xy 150.688139 103.132255) + (xy 150.614005 102.886136) (xy 150.076777 102.693035) (xy 149.506546 102.720222) (xy 149.105995 102.886136) (xy 149.031861 103.132255) + (xy 147.645161 103.132255) (xy 147.645161 102.835) (xy 147.580522 102.491474) (xy 147.413788 102.232362) (xy 147.496029 102.112) + (xy 149.305 102.112) (xy 149.774553 102.0186) (xy 150.17262 101.75262) (xy 151.00324 100.922) (xy 159.589974 100.922) + (xy 159.466673 101.045302) (xy 159.37 101.278691) (xy 159.37 101.86925) (xy 159.52875 102.028) (xy 160.528 102.028) + (xy 160.528 102.008) (xy 160.782 102.008) (xy 160.782 102.028) (xy 161.78125 102.028) (xy 161.94 101.86925) + (xy 161.94 101.44524) (xy 164.23238 103.73762) (xy 164.630447 104.0036) (xy 165.1 104.097) (xy 165.756267 104.097) + (xy 165.946501 104.392633) (xy 166.246186 104.5974) (xy 166.035291 105.035209) (xy 166.032642 105.050974) (xy 166.153783 105.283) + (xy 167.513 105.283) (xy 167.513 105.263) (xy 167.767 105.263) (xy 167.767 105.283) (xy 169.126217 105.283) + (xy 169.247358 105.050974) (xy 169.244709 105.035209) (xy 169.03185 104.593322) (xy 169.315033 104.411099) (xy 169.526696 104.101321) + (xy 169.601161 103.7336) (xy 169.601161 102.0064) (xy 169.536522 101.662874) (xy 169.333499 101.347367) (xy 169.023721 101.135704) + (xy 168.656 101.061239) (xy 166.624 101.061239) (xy 166.280474 101.125878) (xy 165.964967 101.328901) (xy 165.753304 101.638679) + (xy 165.752429 101.643) (xy 165.60824 101.643) (xy 163.39224 99.427) (xy 178.068 99.427) + ) + ) + ) +) diff --git a/PCB/SatNOGS_EndStop/SatNOGS_EndStop.net b/PCB/SatNOGS_EndStop/SatNOGS_EndStop.net new file mode 100644 index 0000000..82a2f91 --- /dev/null +++ b/PCB/SatNOGS_EndStop/SatNOGS_EndStop.net @@ -0,0 +1,131 @@ +(export (version D) + (design + (source /home/azisi/Desktop/satnogs/end_stop/end_stop.sch) + (date "Κυρ 02 Αύγ 2015 08:39:34 μμ EEST") + (tool "Eeschema 0.201507300901+6016~28~ubuntu14.04.1-product") + (sheet (number 1) (name /) (tstamps /) + (title_block + (title) + (company) + (rev) + (date) + (source end_stop.sch) + (comment (number 1) (value "")) + (comment (number 2) (value "")) + (comment (number 3) (value "")) + (comment (number 4) (value ""))))) + (components + (comp (ref U1) + (value TCST2103) + (footprint satnogs:TCST2103) + (libsource (lib satnogs) (part TCST2103)) + (sheetpath (names /) (tstamps /)) + (tstamp 55BCD149)) + (comp (ref R1) + (value 180) + (footprint Resistors_SMD:R_0805_HandSoldering) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 55BCD813)) + (comp (ref R2) + (value 1k5) + (footprint Resistors_SMD:R_0805_HandSoldering) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 55BCD89E)) + (comp (ref R3) + (value 1k) + (footprint Resistors_SMD:R_0805_HandSoldering) + (libsource (lib device) (part R)) + (sheetpath (names /) (tstamps /)) + (tstamp 55BCD8ED)) + (comp (ref D1) + (value LED) + (footprint LEDs:LED-3MM) + (libsource (lib device) (part LED)) + (sheetpath (names /) (tstamps /)) + (tstamp 55BCD94A)) + (comp (ref P1) + (value CONN_01X03) + (footprint Pin_Headers:Pin_Header_Angled_1x03) + (libsource (lib conn) (part CONN_01X03)) + (sheetpath (names /) (tstamps /)) + (tstamp 55BE41ED))) + (libparts + (libpart (lib conn) (part CONN_01X03) + (footprints + (fp Pin_Header_Straight_1X03) + (fp Pin_Header_Angled_1X03) + (fp Socket_Strip_Straight_1X03) + (fp Socket_Strip_Angled_1X03)) + (fields + (field (name Reference) P) + (field (name Value) CONN_01X03)) + (pins + (pin (num 1) (name P1) (type passive)) + (pin (num 2) (name P2) (type passive)) + (pin (num 3) (name P3) (type passive)))) + (libpart (lib device) (part LED) + (footprints + (fp LED-3MM) + (fp LED-5MM) + (fp LED-10MM) + (fp LED-0603) + (fp LED-0805) + (fp LED-1206) + (fp LEDV)) + (fields + (field (name Reference) D) + (field (name Value) LED)) + (pins + (pin (num 1) (name K) (type passive)) + (pin (num 2) (name A) (type passive)))) + (libpart (lib device) (part R) + (description Resistor) + (footprints + (fp R_*) + (fp Resistor_*)) + (fields + (field (name Reference) R) + (field (name Value) R)) + (pins + (pin (num 1) (name ~) (type passive)) + (pin (num 2) (name ~) (type passive)))) + (libpart (lib satnogs) (part TCST2103) + (description "Transmissive Optical Sensor with Phototransistor Output") + (fields + (field (name Reference) U) + (field (name Value) TCST2103)) + (pins + (pin (num 1) (name +) (type input)) + (pin (num 2) (name E) (type input)) + (pin (num 3) (name +) (type input)) + (pin (num 4) (name D) (type input))))) + (libraries + (library (logical satnogs) + (uri /home/azisi/Desktop/satnogs/library/satnogs.lib)) + (library (logical conn) + (uri /usr/share/kicad/library/conn.lib)) + (library (logical device) + (uri /usr/share/kicad/library/device.lib))) + (nets + (net (code 1) (name /Signal) + (node (ref R2) (pin 2)) + (node (ref D1) (pin 2)) + (node (ref U1) (pin 3)) + (node (ref P1) (pin 3))) + (net (code 2) (name +5V) + (node (ref R2) (pin 1)) + (node (ref R1) (pin 1)) + (node (ref P1) (pin 1))) + (net (code 3) (name "Net-(D1-Pad1)") + (node (ref R3) (pin 1)) + (node (ref D1) (pin 1))) + (net (code 4) (name GND) + (node (ref P1) (pin 2)) + (node (ref R3) (pin 2)) + (node (ref U1) (pin 2)) + (node (ref U1) (pin 4))) + (net (code 5) (name "Net-(R1-Pad2)") + (node (ref U1) (pin 1)) + (node (ref R1) (pin 2))))) \ No newline at end of file diff --git a/PCB/SatNOGS_EndStop/SatNOGS_EndStop.pro b/PCB/SatNOGS_EndStop/SatNOGS_EndStop.pro new file mode 100644 index 0000000..0104de8 --- /dev/null +++ b/PCB/SatNOGS_EndStop/SatNOGS_EndStop.pro @@ -0,0 +1,62 @@ +update=Πεμ 17 Σεπ 2015 05:33:05 μμ EEST +version=1 +last_client=kicad +[pcbnew] +version=1 +LastNetListRead= +UseCmpFile=1 +PadDrill=0.600000000000 +PadDrillOvalY=0.600000000000 +PadSizeH=1.500000000000 +PadSizeV=1.500000000000 +PcbTextSizeV=1.500000000000 +PcbTextSizeH=1.500000000000 +PcbTextThickness=0.300000000000 +ModuleTextSizeV=1.000000000000 +ModuleTextSizeH=1.000000000000 +ModuleTextSizeThickness=0.150000000000 +SolderMaskClearance=0.000000000000 +SolderMaskMinWidth=0.000000000000 +DrawSegmentWidth=0.200000000000 +BoardOutlineThickness=0.100000000000 +ModuleOutlineThickness=0.150000000000 +[cvpcb] +version=1 +NetIExt=net +[general] +version=1 +[eeschema] +version=1 +LibDir=../library +[eeschema/libraries] +LibName1=power +LibName2=device +LibName3=transistors +LibName4=conn +LibName5=linear +LibName6=regul +LibName7=74xx +LibName8=cmos4000 +LibName9=adc-dac +LibName10=memory +LibName11=xilinx +LibName12=microcontrollers +LibName13=dsp +LibName14=microchip +LibName15=analog_switches +LibName16=motorola +LibName17=texas +LibName18=intel +LibName19=audio +LibName20=interface +LibName21=digital-audio +LibName22=philips +LibName23=display +LibName24=cypress +LibName25=siliconi +LibName26=opto +LibName27=atmel +LibName28=contrib +LibName29=valves +LibName30=satnogs +LibName31=/home/azisi/Documents/projects/SatNOGS/satnogs-rotator-controller/mydev/kicad/library/satnogs diff --git a/PCB/SatNOGS_EndStop/SatNOGS_EndStop.sch b/PCB/SatNOGS_EndStop/SatNOGS_EndStop.sch new file mode 100644 index 0000000..f29a9ab --- /dev/null +++ b/PCB/SatNOGS_EndStop/SatNOGS_EndStop.sch @@ -0,0 +1,276 @@ +EESchema Schematic File Version 2 +LIBS:power +LIBS:device +LIBS:transistors +LIBS:conn +LIBS:linear +LIBS:regul +LIBS:74xx +LIBS:cmos4000 +LIBS:adc-dac +LIBS:memory +LIBS:xilinx +LIBS:microcontrollers +LIBS:dsp +LIBS:microchip +LIBS:analog_switches +LIBS:motorola +LIBS:texas +LIBS:intel +LIBS:audio +LIBS:interface +LIBS:digital-audio +LIBS:philips +LIBS:display +LIBS:cypress +LIBS:siliconi +LIBS:opto +LIBS:atmel +LIBS:contrib +LIBS:valves +LIBS:satnogs +EELAYER 25 0 +EELAYER END +$Descr A4 11693 8268 +encoding utf-8 +Sheet 1 1 +Title "" +Date "" +Rev "" +Comp "" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L TCST2103 U1 +U 1 1 55BCD149 +P 4700 2950 +F 0 "U1" H 4950 2650 60 0000 C BNN +F 1 "TCST2103" H 4700 3250 60 0000 C TNN +F 2 "library:TCST2103" H 4700 2950 60 0001 C CNN +F 3 "" H 4700 2950 60 0000 C CNN + 1 4700 2950 + 1 0 0 -1 +$EndComp +$Comp +L R R1 +U 1 1 55BCD813 +P 4100 2450 +F 0 "R1" V 4180 2450 50 0000 C CNN +F 1 "180" V 4100 2450 50 0000 C CNN +F 2 "Resistors_SMD:R_0805_HandSoldering" V 4030 2450 30 0001 C CNN +F 3 "" H 4100 2450 30 0000 C CNN + 1 4100 2450 + 1 0 0 -1 +$EndComp +$Comp +L R R2 +U 1 1 55BCD89E +P 5650 2450 +F 0 "R2" V 5730 2450 50 0000 C CNN +F 1 "1k5" V 5650 2450 50 0000 C CNN +F 2 "Resistors_SMD:R_0805_HandSoldering" V 5580 2450 30 0001 C CNN +F 3 "" H 5650 2450 30 0000 C CNN + 1 5650 2450 + 1 0 0 -1 +$EndComp +$Comp +L R R3 +U 1 1 55BCD8ED +P 5650 3800 +F 0 "R3" V 5730 3800 50 0000 C CNN +F 1 "1k" V 5650 3800 50 0000 C CNN +F 2 "Resistors_SMD:R_0805_HandSoldering" V 5580 3800 30 0001 C CNN +F 3 "" H 5650 3800 30 0000 C CNN + 1 5650 3800 + 1 0 0 -1 +$EndComp +$Comp +L LED D1 +U 1 1 55BCD94A +P 5650 3350 +F 0 "D1" H 5650 3450 50 0000 C CNN +F 1 "LED" H 5650 3250 50 0000 C CNN +F 2 "LEDs:LED-3MM" H 5650 3350 60 0001 C CNN +F 3 "" H 5650 3350 60 0000 C CNN + 1 5650 3350 + 0 -1 -1 0 +$EndComp +$Comp +L CONN_01X03 P1 +U 1 1 55BE41ED +P 7225 3000 +F 0 "P1" H 7225 3200 50 0000 C CNN +F 1 "CONN_01X03" V 7325 3000 50 0000 C CNN +F 2 "Pin_Headers:Pin_Header_Angled_1x03" H 7225 3000 60 0001 C CNN +F 3 "" H 7225 3000 60 0000 C CNN + 1 7225 3000 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR01 +U 1 1 55BE450D +P 6500 3075 +F 0 "#PWR01" H 6500 2825 50 0001 C CNN +F 1 "GND" H 6500 2925 50 0000 C CNN +F 2 "" H 6500 3075 60 0000 C CNN +F 3 "" H 6500 3075 60 0000 C CNN + 1 6500 3075 + 1 0 0 -1 +$EndComp +$Comp +L +5V #PWR02 +U 1 1 55BE463C +P 6950 2125 +F 0 "#PWR02" H 6950 1975 50 0001 C CNN +F 1 "+5V" H 6950 2265 50 0000 C CNN +F 2 "" H 6950 2125 60 0000 C CNN +F 3 "" H 6950 2125 60 0000 C CNN + 1 6950 2125 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4100 2600 4100 2800 +Wire Wire Line + 4100 2800 4150 2800 +Wire Wire Line + 6950 2125 6950 2900 +Wire Wire Line + 4150 3100 4100 3100 +Wire Wire Line + 4100 3100 4100 4000 +Wire Wire Line + 4100 2125 4100 2300 +$Comp +L PWR_FLAG #FLG03 +U 1 1 55BEA987 +P 3825 3750 +F 0 "#FLG03" H 3825 3845 50 0001 C CNN +F 1 "PWR_FLAG" H 3825 3930 50 0000 C CNN +F 2 "" H 3825 3750 60 0000 C CNN +F 3 "" H 3825 3750 60 0000 C CNN + 1 3825 3750 + 1 0 0 -1 +$EndComp +$Comp +L PWR_FLAG #FLG04 +U 1 1 55BEAF0A +P 6675 2375 +F 0 "#FLG04" H 6675 2470 50 0001 C CNN +F 1 "PWR_FLAG" H 6675 2555 50 0000 C CNN +F 2 "" H 6675 2375 60 0000 C CNN +F 3 "" H 6675 2375 60 0000 C CNN + 1 6675 2375 + -1 0 0 1 +$EndComp +Wire Wire Line + 5200 2800 5425 2800 +Wire Wire Line + 5650 2600 5650 3150 +Connection ~ 5650 3100 +Wire Wire Line + 5650 2125 5650 2300 +Wire Wire Line + 5650 3550 5650 3650 +Wire Wire Line + 5650 3950 5650 4025 +$Comp +L GND #PWR05 +U 1 1 55BEEF1F +P 5425 2875 +F 0 "#PWR05" H 5425 2625 50 0001 C CNN +F 1 "GND" H 5425 2725 50 0000 C CNN +F 2 "" H 5425 2875 60 0000 C CNN +F 3 "" H 5425 2875 60 0000 C CNN + 1 5425 2875 + 1 0 0 -1 +$EndComp +Wire Wire Line + 5425 2800 5425 2875 +$Comp +L +5V #PWR06 +U 1 1 55BEF274 +P 5650 2125 +F 0 "#PWR06" H 5650 1975 50 0001 C CNN +F 1 "+5V" H 5650 2265 50 0000 C CNN +F 2 "" H 5650 2125 60 0000 C CNN +F 3 "" H 5650 2125 60 0000 C CNN + 1 5650 2125 + 1 0 0 -1 +$EndComp +$Comp +L +5V #PWR07 +U 1 1 55BEF291 +P 4100 2125 +F 0 "#PWR07" H 4100 1975 50 0001 C CNN +F 1 "+5V" H 4100 2265 50 0000 C CNN +F 2 "" H 4100 2125 60 0000 C CNN +F 3 "" H 4100 2125 60 0000 C CNN + 1 4100 2125 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR08 +U 1 1 55BEF660 +P 5650 4025 +F 0 "#PWR08" H 5650 3775 50 0001 C CNN +F 1 "GND" H 5650 3875 50 0000 C CNN +F 2 "" H 5650 4025 60 0000 C CNN +F 3 "" H 5650 4025 60 0000 C CNN + 1 5650 4025 + 1 0 0 -1 +$EndComp +$Comp +L GND #PWR09 +U 1 1 55BEF67D +P 4100 4000 +F 0 "#PWR09" H 4100 3750 50 0001 C CNN +F 1 "GND" H 4100 3850 50 0000 C CNN +F 2 "" H 4100 4000 60 0000 C CNN +F 3 "" H 4100 4000 60 0000 C CNN + 1 4100 4000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 6950 2900 7025 2900 +Wire Wire Line + 5200 3100 5850 3100 +Text Label 5850 3100 0 60 ~ 0 +Signal +Wire Wire Line + 6775 3100 7025 3100 +Text Label 6775 3100 0 60 ~ 0 +Signal +Wire Wire Line + 7025 3000 6500 3000 +Wire Wire Line + 6500 3000 6500 3075 +Wire Wire Line + 6675 2375 6675 2250 +Wire Wire Line + 6675 2250 6950 2250 +Connection ~ 6950 2250 +Wire Wire Line + 3825 3750 3825 3825 +Wire Wire Line + 3825 3825 4100 3825 +Connection ~ 4100 3825 +Wire Notes Line + 3530 1815 3530 4265 +Wire Notes Line + 3530 4265 6145 4265 +Wire Notes Line + 6145 4265 6145 1815 +Wire Notes Line + 6145 1815 3530 1815 +Wire Notes Line + 6370 1855 6370 3380 +Wire Notes Line + 6370 3380 7445 3380 +Wire Notes Line + 7445 3380 7445 1855 +Wire Notes Line + 7445 1855 6370 1855 +$EndSCHEMATC diff --git a/PCB/library/TCST2103.kicad_mod b/PCB/library/TCST2103.kicad_mod new file mode 100644 index 0000000..c194a01 --- /dev/null +++ b/PCB/library/TCST2103.kicad_mod @@ -0,0 +1,23 @@ +(module TCST2103 (layer F.Cu) (tedit 55BE51F0) + (fp_text reference U** (at 11.43 5.08) (layer F.SilkS) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value TCST2103 (at -8.89 -5.08) (layer F.Fab) + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_circle (center -9.525 0) (end -11.175 0) (layer F.CrtYd) (width 0.15)) + (fp_circle (center 9.525 0) (end 11.175 0) (layer F.CrtYd) (width 0.15)) + (fp_line (start -1.5875 -3.1115) (end -1.5875 3.2385) (layer F.CrtYd) (width 0.15)) + (fp_line (start 1.5875 -3.1115) (end 1.5875 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start -5.969 -3.1115) (end -5.969 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start 5.969 0) (end 5.969 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start 5.969 0) (end 5.969 -3.1115) (layer F.CrtYd) (width 0.15)) + (fp_line (start -12.7 -3.175) (end -12.7 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start 12.7 -3.175) (end 12.7 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start 12.7 3.175) (end -12.7 3.175) (layer F.CrtYd) (width 0.15)) + (fp_line (start -12.7 -3.175) (end 12.7 -3.175) (layer F.CrtYd) (width 0.15)) + (pad 1 thru_hole circle (at -3.81 -1.27) (size 1.6 1.6) (drill 0.5) (layers *.Cu *.Mask F.SilkS)) + (pad 2 thru_hole rect (at -3.81 1.27) (size 1.6 1.6) (drill 0.5) (layers *.Cu *.Mask F.SilkS)) + (pad 3 thru_hole circle (at 3.81 1.27) (size 1.6 1.6) (drill 0.5) (layers *.Cu *.Mask F.SilkS)) + (pad 4 thru_hole rect (at 3.81 -1.27) (size 1.6 1.6) (drill 0.5) (layers *.Cu *.Mask F.SilkS)) +) diff --git a/PCB/library/satnogs.bck b/PCB/library/satnogs.bck new file mode 100644 index 0000000..8f15730 --- /dev/null +++ b/PCB/library/satnogs.bck @@ -0,0 +1,8 @@ +EESchema-DOCLIB Version 2.0 +# +$CMP TCST2103 +D Transmissive Optical Sensor with Phototransistor Output +K Optical switch, Photo interrupter, Counter, Encoder +$ENDCMP +# +#End Doc Library diff --git a/PCB/library/satnogs.dcm b/PCB/library/satnogs.dcm new file mode 100644 index 0000000..8f15730 --- /dev/null +++ b/PCB/library/satnogs.dcm @@ -0,0 +1,8 @@ +EESchema-DOCLIB Version 2.0 +# +$CMP TCST2103 +D Transmissive Optical Sensor with Phototransistor Output +K Optical switch, Photo interrupter, Counter, Encoder +$ENDCMP +# +#End Doc Library diff --git a/PCB/library/satnogs.lib b/PCB/library/satnogs.lib new file mode 100644 index 0000000..b4c5ca4 --- /dev/null +++ b/PCB/library/satnogs.lib @@ -0,0 +1,57 @@ +EESchema-LIBRARY Version 2.3 +#encoding utf-8 +# +# AS5040 +# +DEF AS5040 U 0 40 Y Y 1 F N +F0 "U" -375 370 60 H V C CNN +F1 "AS5040" 210 -435 60 H V C CNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -425 315 370 -370 0 1 0 f +X MagINCn 1 -625 260 200 R 50 50 1 1 O +X MagDECn 2 -625 180 200 R 50 50 1 1 O +X A_LSB_U 3 -625 100 200 R 50 50 1 1 I +X B_DIR_V 4 -625 15 200 R 50 50 1 1 I +X NC 5 -625 -70 200 R 50 50 1 1 N +X Index_W 6 -625 -150 200 R 50 50 1 1 I +X VSS 7 -625 -230 200 R 50 50 1 1 W +X Prog 8 -625 -310 200 R 50 50 1 1 I +X DO 9 570 -310 200 L 50 50 1 1 O +X CLK 10 570 -230 200 L 50 50 1 1 I +X CSn 11 570 -150 200 L 50 50 1 1 I +X PWM_LSB 12 570 -70 200 L 50 50 1 1 O +X NC 13 570 15 200 L 50 50 1 1 N +X NC 14 570 100 200 L 50 50 1 1 N +X VDD3V3 15 570 180 200 L 50 50 1 1 w +X VDD5V 16 570 260 200 L 50 50 1 1 W +ENDDRAW +ENDDEF +# +# TCST2103 +# +DEF TCST2103 U 0 40 Y Y 1 F N +F0 "U" 250 -300 60 H V C BNN +F1 "TCST2103" 0 300 60 H V C TNN +F2 "" 0 0 60 H V C CNN +F3 "" 0 0 60 H V C CNN +DRAW +S -350 200 300 -200 0 1 0 f +P 2 0 1 0 -200 -50 -100 -50 N +P 2 0 1 0 -150 -50 -150 -100 N +P 2 0 1 0 -150 50 -150 100 N +P 2 0 1 0 150 50 150 -50 N +P 2 0 1 0 195 35 185 45 N +P 3 0 1 0 150 0 200 -50 200 -100 N +P 3 0 1 0 150 0 200 50 200 100 N +P 4 0 1 0 -200 50 -100 50 -150 -50 -200 50 N +P 5 0 1 0 200 50 180 45 195 30 200 50 190 40 N +X + 1 -550 150 200 R 50 50 1 1 I +X E 2 -550 -150 200 R 50 50 1 1 I +X + 3 500 -150 200 L 50 50 1 1 I +X D 4 500 150 200 L 50 50 1 1 I +ENDDRAW +ENDDEF +# +#End Library diff --git a/Pics/Block Diagram.dia b/Pics/Block Diagram.dia deleted file mode 100644 index 16b32e0854c03d1b1502f6ffc831e12be28c9870..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2240 zcmV;x2tW59iwFP!000021MQtnbK^D=hVT9rD$P}b0W?0Ncv3srP3<AOIZWp4q9l&Y zgd$ZGWsfiU?c1Q_SYMPSlF(!`VAV`nGK6MB!22{B0R8<BU*>7=F)o%#p565sq5WQ* zP4a1y&F=c2e*I}^`aitC`F@&2-{HSm5zTw}iEQa!-Sr>Ja`D~m?bFi}NuQTdnHMBY zR%999{t=~Vbc=;<`|odhz3mF7Q5m`SHt$AdStR3C8TYbi9^duH(d748k*~68e_d)* zZjz^Y(R+;2yZ*b+)z!b<RCBvi&xyJh(JUSparAqA)f6vln^e7si~VZni+q`2k@9(Q zP^6|F_y1OzO{pao%4YB1{T!ENT)bP~*5=LE`i|Xft)X%r6|*Eew1w@Ht{a0B3D#YT z83~kYcxji*&5f^XF1@a~;=1ON<^3Wr$|6e2LzCk?Pva<CTP=%K+}zG`5~bM1PPxUJ z*Zw&v%lwV|{~V>ua~xQ|{pX0gbo9=OWO{n=?v$vh<tdq#5BFa@HP^cKXHT_1Cd*`; z#>ehH$;!^Ef9|IG{copI@Yj#G><#PMY7sFtv5e*Ah?uRCX}o-+o9&jVsp(-;?Dnlz z-!FG=!>r%h19oL1jh^FTQ~ifmRQEPt@J4ZZ(WFcsUtVpsNk0B9o|GGBe@gSG$wO3> zy<zV|{-ysKh+r6!Oz--?(B0PB_YZ4BAv|cVMgC`>8d74Y2BQa(P)>!~Z;L}EsWUVl z@7pQRl_;B~@x~U0Pf~*&2%<Hb8W}>hp?qM8)lA4{rsFi8-%s))JB%H=_p>rq3C*Fe zFCt@z0x1|30ESyhrEOvBAx>rwWxX+MN*9lDN|ja`G&FQ<oEOu$c*`|PWUK0Th2w~H zL{k)xhAu20@~8VF(ec~Zzr@M{Z*dp-UA0jee<}NK==fflx|XN{-<@)Maq`D9USP0& z^UnfRs4iYU0hzjpCC|!ZX5IU}&(S<dpYiUZY}xNE%V(#CC}iu8{yR<|<1(2<+oFB> z9UP38qu-E~Ok3dwsNjkl$=ey-mDpqQ14J?ke4q*AN~w0{_D50eJdM(JhA_CDW%Ky> zcN%A_`H{HfRAh1tu5|&z?JEtN<B@fMM=hn$cEC8H7Nlo9QYp-UF=7Nr#3K{jr~$)X zL#^<P$1eOl6OY0G`UhM~O%-Q;@u;K$XUgD6hk(a`$AHJbA|91dC_aL4;5^{*I(X!* z@hCX4M(Y6}KD4zy=_mmEMrBG;G&LFu0$N!ZFhc;e^@_(%{5*$_fX*nYan=ZuGRdX# zNJkuQz^xUU8ifId*iN|yItDt{(D9q&p`p8%@Xf;^hbmp=rUHzaz{BhC5Zd#Q5wJ=Q z6wW(9d&MEcc~oUdGSH(TPy!TRGf6B1zmvr-ygUbn42PcZ$Uj4jwVESrl@gLG!~U=N zXK5T;8u;gZb{H24-tdq4e7?#MK_!aFH^xM_l4HPK7Q<9vS3n}5uxTf7j0nwaVB&R{ zC|Wa-DZ&I!?iDehJZDgq1Cui)4VoGa@ds|DuBfQ3_DaQ0{5%JX7N>|Bn9y8F%NS1G zJTnrf*Eq&G1EVB2fsuicXD~9G_R5F2w^*giczILih^s28+M9lhN!70aOb1E@+yRra zkW{@EFlB3CaznTP#}O`>Ph6rQVVxnVB^wQ^M5?J+sf^#<I32in9x0U+cIy&>l!26g zEmHm%rIS?}xq1<o4wB2j#w+Ak1(VfeQUYaxja{(uBf@1G6;njx&q)>^VWVozM!+#G z*I58mS+BcQ(G<?F28<GIDB=;BMVYNkYu<1wImxr^9z)~GrDl%S)6^B!tRZ!<_e>W1 zH*{?4`6ZR6*bUwW8Q(0caBHYr{%;EDY<eFRMgFvJ>vd#oN5PG%zE9(9<^{{ICb|N@ z>ucDhaR4q4Q1<eh-UANVjqaCuwFJ_8{Vm2c2mS8IXW8bna`&_Pa9jP}4Rs?bx_ySq z5NS-i@pEQ^$`ECYaH3+Uaxpgs+Z?K~t0jPMRjKpzL^{irH4sE4h)QEoku5|;d>v6S z?U#ay;%<AACLpNrsw%<-B$~VFv}MwchWK?F&^XXFF<`X!V5QdAQwMRdu%=c9WeLjC zSXub4I;cyOg?=4nv7IRk6GT!ey(^2-&J?8vDrEs?bhTC2%BIFISC#``Po7~AL}(S1 zB`8a0%EIU+(!xAT%dal?xb;|)m*Y<Dj{|c-z$iA5gj*}U=2y5TQiB>ei=3*_kTb%p zQD|F&XlnbKsoQ@P(^Zn?y-)xB<(o^{auAy1Wd$?L$}6O7>w0EL*<Lh29;;ibN5flF z{f<s%wm+IFIl+*G4_rz^817T5&=f#r3II)whC&gLE;$USRnmA}EA7P3b5=_wMok){ zEvBr-Q7yf$mWBrxgw@gp$o=&3Ls%X4jap#|2vMy)F$)2;S6Urq9h}EhMA7x4r3DFI zQv-^))BDbpkj#wS8A+`KbG-(d9+A8tF;M5}iPM{|EDuW=AuZ56Ex=fdwG8efEwCMH z|0_+C#qy}Ov_9L0gNGvwaQ>yJWj@cgp(QFROCZtGhzY`k6$7La2d{hhJMr<XW8O}@ zw33W7Nv+{rdJMIJ2zR_q8Y{pWBb&Bi2(`NlwGmlTVn~Mw1FjoCzTldn_kUQ3ygf(W z)x(#R9B3k~-Cnj0fp<5_s1tw`9RL!zW$p=DT3F*5ka}%NSZgXk8iBE}a!M~e6SBRN zfslcae@jC0KuG_DWbRCxK>2BFEdcWD%v9?`ZJHSF9`)R6)7M#W)I@V-s<UN?4?aR- zNv54;!$JAp3RmlA{bbW^nqwlQr9xV&d0L9L$V##LJ^x-e!ZFo>sMzWOxo!4)ohvGq zD_HM?Q>GFX$@O{{obazH$K5;;o?}td^vSwGQ-Y=(ZeqJkQ$$-$S--CSn#TK^oj<qn O{^oxzpXD5uYXAVMBvpz4 diff --git a/Pics/Block Diagram.png b/Pics/Block Diagram.png deleted file mode 100644 index 4183f163fc321027ea4932b4bf34ef5fc4f4ae72..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 27348 zcmb@ubySsY*FB1bfS{;!iXze=-RP#fyQI5I8U;~MK$MW~?ve(R?vxhk?yj@8@qE8A z&UeNc<BW5^e>}X~d+&YSSFAPHoOA6!d08<m3?d8^6cj88abZOilq)PKC>N;FF2XA_ z%+agxA5;S=F=3Q*<o}ZDGT)=1+(D5Leysd7Ze`r{k&@cv)eXl|iHrJyNf(3et_7wz z`#L{S5w?HUjh7sBL!=C6|6+MaQ&Y7TiOTXvMG>joj~4mA@G1sqMU-)jY)iJ!>=QZV zFlKHAT;4c7q~qQnbMy&JIq6JeBf`4SEtH{rezLD+D0TtHA+Lw&T5{82xH04+3K4E( z@g;Z-c|G-^V7U6{PiCUD**|}wi29Y0{Q2{f+1tp!_Yz?BI{f|j)W@3F|NQxcO}q{H z`Eb2KHRt~Gmv4{V8&VXuC!W_Wjg~WzUx|W}#1SvsNv)9ehV%+btr+wF%lq&A|2wFK z`w@~fL0cOeSxdnZ5)wEfS1w<!^-DsImIxR5)WIEb+>X>izqSuGG&CAR(i!6fykb(a zHm94S8k|-~*n?66FbNS29c^u?)Ilj(j5^g>0~X^kA|fI<BF;<w*`D{%(b3P&&O$;$ zE<XD-Hdd=vsM{9F(AL)GfuDE<zGgxQIZs5mFA$rj`;)g3GY*FnbsoXEqOb87;M2;C zT5cI$`Ly!c@9Iv)H|cc6jt>tFKlxq|3n8(0a>7JIBY0>a?CyTln=YlJtzDp9rFb<N zX7y3(OIWBR1#bIa!lI&$JBxi+E`D~M^fJw3zI^fV`Pu2{M{CPG6oMZkBSFF`_oX7m z(-r4puHiF|l^DM+E!`fkab?o2t#q94^t*Z^BP}gYvz(Td)$!Y_n?C2KuH)Y@VdTLC zO+R3TA6bu7IX<8;8qCwEs;Yu<RXNPIUM2TT(wZ8~*Q#^hJv!O$5)u-^XViYW`RjXJ zTwD~B-pJ@^u5!-#$-E%1>ze1`mT{>`hrrpvbaQhv%W6|&qwEJ}{ne53>ZqWgAZZyH zetv$rL;;y7%KP`Zd3leIkJl#~^!AhCO)>EP!|i!v7L7vPIv7G<rd%RR=)u|Xx}kvq znSf`OYVK&cB|ZrWpVyHSUhd#gSbkn!hHQK_d?^+&M|&)%oL)J+r$DDhmGV}+fY(S% zi-cCEN$Gq?Y*c*wY2x{b>E6n4QwV8p{wEZaC22`-2?yQ4;#6Pvj)B3kH=$O=AwOTE zqL}gN);`@FwQYbosH&{IjEYLe?O+6NGwyZJR8&-)toI@%A*rpcEh#NsOyi`cHd~*l z>+I}=M|jsZJL$DQ9?$D)@vt^6jgphI;-mGbYy#im_t*G>=O^1gKl`nZ*9>LI5L?G@ zY;1JIa&lS@TD6ALkX(Pj$hfxMCD;H@^X$@9i-+%qOHFNIZFiRjlrm+@OG<KzI@iW) z1}vPPJV~;VEnv5usD<}8egAH3Y^<TBHDq9gg5vxrM|oZX7mni+`>Y_R{xesM_pq`= zp*l4#*#k>*f~VowEKUpEFx#a3?qx+qMV=k3rk(yTUW_;R_;e-k3!d&MZO?W1obC?Q zdF)qLRUu#Rh+$tID)ia-`8icA6rK#;(H_sczci4mRbdkx8md?2U@Rfg0(+z!w=<r% z!fktQZ*^4AeaEaXLq-oi>+8!K9{X!v@B#P4Li!CTGcq!yBI#SYy7Y<-UexpK^xDhG zbzu~0YG~{(^a!Q)XxF)y<6VN7<hzdz0m)Ysk*~TwQvSMY{`lzV7UGB1UK6>Gwzjq> zeBxHb>gsB0N(yyQZ)CSt5`)%9={wln_ah@Cui?>WeMf^m!|AbSwK}@kmw6juGf|t( zFkI)sN$OWs#jSG}2SY7iQv@x0*LjPAf&x}?(sR3Gd%lY<?`>qHC|Yqv#n8}mKR>^Z zL~u+Vl_LYG8KrEZKzvLL4?N$sYuBnNnVH{Wml!sMY(?nk=u~MJ*tEczL#H@B-XCHh zVSe(6LG(wdsoZ!73fvtg9v&N;%aA<ZeuIcje7^vex@34|BwkhyZOV>|POTukZ5GaM z-gqQ3;^th2aQo=q<IKq&Oc%`>m({Vcv0Jxq!xmD@D2b2PiGFu-;#sUtkAvZSEtbPt z^Yu-OrcdQuBq5UNy}{4EPK9f%bWGXh<>wQ2qG#ea=F(|i6yq$gt*L~dx4+mozcKYS zFfeeoaAaiU7DBhqJ$=23Nw1y~*9(#u3W~~eWZ-!yE+-cn8v4Nh4H0`#ul?zfvto~L z)mj$2%-t{?T-=E86GOv^3fsxZY|+e0$#lhvw-lxYw*rMzlxa&#OP#MpNE)lEMhf56 zuY8UDE+RtZOwq)IDm#n!(emW^S%qk7G^?rXq12A5n`viU_C_NFmC*`YC7gi@TRpE} zWLhG)h@1q&BGWFibj4vf;6EKMU;GRcCn+Trt+g<iPfS5cLGid^0|KXUP7mu$+Z&3> zO8ZniflCyy5D)ydEA1XvFvBhBiak-Z{mDXCIbywz_f~)X`o$8p5Zae6h3~b0oq+kt z&O*<8SE8Wvl5(_^QiimCvAW0JO19nRVU9|!5z8=y>mn>(7*YT4O^GU(+SAROvYOXi zX+OQSwPj#v7)^XQ^Ii^(0ycM;WV(}ttZZj%1YMi*G7K`RjUP6Qtn782cL@o)T5Y9^ z-#6es8fxk!DR>h2VmUN)42)jasO;?Q&`>#hdwXGF|F!S~IAh<xeY4{t@$vBqcv+xZ z7t>)9H96M#{rmm9cT*}WfAsZjPkntk;t(NO4(Hl=c~FA#UAg6u>Y)%aDWBZ#L~hy* zM(xT+<meN{hD}>)u%T~|a1}vL2o*g0kbDzPAN=tYBAZUN6Ctll9wM2`VMaWZjKB2_ zu9mvG=iadCr1!D)P=Suv8v+GoWv99JX!uS+x2?1}a--(A6z4}n4fUscqamccM+XPp z^N|l8Jdlgy>gnnVoobYc<ruH`@_;FaH6~<!;ww*ma=hNaq+OZRW5!`SF_xo37vKTQ zPL6}4Jk|I#S2drOmNqCba1laEgZJskM{6xbMTf=SbV#}E=Dn1Rj0NzR?5FMUd>a!& z05rOP_RtvH+1b5N<LBektg!Kd`~+JCqHkn!JnRD3g>L=%&Uj)DtD@4<YZw?Y{2nfc zBICrIHf)@nldydg_&rESN&hT=|D~(f`&&&9=VEQ$cbCeoM?WGXI$Mm(>WKA3EjJrm z_#vZ~*66oy-*%UW61nW}GBV0iy@!Drt^6p4G_W*OsF!{tI3z^i>Bf^o?hTUb^N>z< zx3`b?Ms4AMc>>ya_NY5UCidsgpBo!?t0DW4gQcYcyIZu?-T2LZ+N96pHit(H`MHMn znz1#8Tv%d?Ry^IFH0Jc|{pF~BPitt7u>+nRMbwptJ5@Nj*VVc5eem11g0`m<FCGkw z_?X}>)r@pXj(r{}W1PN_TDif(o2gu|p7YW#A|1VuaoR0W{~!7z62zi7R1|rptwE`V z*BWsjBSKUN$D$mOuaM17kN4eBfdub$$}9Aj)~$xG-AI(knh>9iBY3}Lxcmc^zKs$> z;x2)FrOF9Pv|Th2bp7t8uXB}&UIbyM-1VQiDKc^%RZ7#U{i&Q~O5>WFj6dHVuXs<` zU$@VySLkA{4VCbdddKfAeDdXG_5YpK+%oFiIniq&_|tE}%c?q0~<>vjAGUAx7z z*khuuq)SwNUpElqjS$_gmOrYNr=7DOQGZ3!F3nXQ7Ju(Axb#Jp%kMdf*V>}5P|xYF zu{5-%c}KTi-*L{GTNEMuV^Hy%aj8Ww(LdB{_M`W;(LU?7pc!ZPnON=gGrCOb`weM^ zx0S>Ki$<pB{sG~nWLnj%wfYVpEqYc}6qbl17k6(5T?tO&z;yHqB8Gp^))$rLe#4Bn z=rhIhvn6LLxtxP(<H*+$mGKegDnqgVGsXb2R9U)rM7(d$d$6}CYpCng2irQw!qr}A z*N9&0`-{Do)RVQ{rbJZhT3gDu(t@rrm6S@(1WZ2f`HR@im`kK))%50Db3KTv2onuE z=Yx>zCDv}}s7^m|F2|#m#V#3~p<X~Ao*OjNda;T{jdn=c>v=6AUsk-szWjX|GyB6d zf&Zq0U;;<Nw!TG4<)PYK5jAgdQnY%vaP#z{VEdakDt~NNvZl3jsc;J!mu$X#ape5x zN{abvrdDlI6{ZE|<fm6|ZD88sBX=nZ$|v>Spft{j8C7#1PF8`YVZ_)M<xd4m0#^Rm z2rl}Z>{pY@-_|YexoOdR#Aqp}4=>(ey~gfesT>*o-y|I|rV6`E%Op&3S4E(AeV4SN z`!&?sM-%iT=<uU~w`}$=bJ-qZzo>G-R{EI|b=f&Y0MCD`rAzmgrm(J)-EOV=ZQI(| zx4f$CpE|+@=3iF(#_rZAdo!ipk;`G8iS)4Dmf@o3EuMH<bQ>Ay;p*4JD4!dN)xLD& zfvq(K(MV1UFU)_Q^iw~Qd|u9nh6)i~!9&;%bPR>!1^sFl{*_1ldhOi#c%dCfNiU4m zh*>{g$ad&Z@aNJB;v>lu-jTI`gJaRL%jrhT&wuT|Q6@;XGH}dzI^2v}U3;RUMc0C5 z%J|crOH&J@GGeejie}9iNBJrGf8QYX9b+Ih?~7!v23jRq$Y2KFv{ihgX({Rtt%vla zLy|2_EqP}5T>l*!dGc}DA>K``HpNe?wzl7ge2CmgpOj_^bTF)RNYmy=e@IaC4&(c` z_*t%s*QDr3v<8hEr#-vBywl2OZL!1`5tq}F=xa6UiK14Pa|~+5x%=;w9f{=k@HJ-{ z9r4sP5qD)x&s3}9%nr7H$t$Va>2e5?ipcR9J77#j<|DYWO5pSwI}B~P|B&=YU3sn> z1$#h^)sbU*p-wVYqLtySNoSpl7KN%XqR@x-sO5(OigHR#Qcdiq4)_yxlh5j8gU@th zFjNxh)U7=|_CG&8qf^a$D^BVE_Tb=PXJ@BN;JE$ALqxC{+f3m~32R-O9Sc4O>yxPR z>IYMfux>TS9Er)YaAdWvJxtu5)OSdmeIiX8ESV8T$cy1CNzERS_+CQ`qyGweI=b^7 z*VD-jnzJWUKY6^(o>l+MJ~1a1#33rSIk6$ZX!u+|q*|!U?{jwI>+AdK6<SaV8Q)X8 zh3;fPHWqzbHLmM-8Eh9|K40TX3(ZoIxHM6jIOEBwl(XR1(^7XZ4%9H~rVmoi;<}b` zq)+}LXGigM7tRS2crVE6g41n=uJPq_T0!3ypUT8+Z=3UpyxBLNO<wyA;+11-K<cTY z!6T43PX~vFT)zk5T9l7eDlDd|=0-@~4{c&~xr5dI`b2RKL&v&(=_`lrk-Wc?EiL!? z-S_(Vhxmu-q<J!nUB2aiIDzgbGqbbhR>O)F{wdTPu`+1U0$xXuK@0R6WEF5k6h<Cy zzs6^(uBo~90wE>UmXwrqa&q3ucpVdSXJg|4lBH(3#eHnFqn$+n7Npjt?P_$^E&v%A z85#M=)&U5uue6!PN#f+R-^w5?$Gd35+IPg|r4t3Q%9hF~>yAp!1m5|+VM^i6-@Ake z6UrwjLZD`I1Y`z)zB;P@Xesy0moLat>R@x)A6rRD3DHdMbMnw>K^{O1RJeG!xC{XJ zwq{!3CRVSue65Opi(T7>Q>t5mCQ#r&@dJgKqvN(@Aq%Gmj5AvLqwD$v<l<g^-zi<3 zaF#^niHRJ2&-B&2Z%o%D*^UI;+fHt2-Aw!&)O}GYsi;iGD(wLwCR67C?$_1Totm2Z z`0=AZHXR)u3`rodkT*^MunvHV1U|QSDbxsYs2@Fc7Lf3nlamwiN|_cw36qWwyu7!! z2M|xE(vHH5>8r||iIkL-&%xAH50B&O6BDA~vb!{2RUp`^YPSsk-1UFeX2Xb=hPQOe zwfLQ-T=Z)SwY0%+a>o}n6@UsnN}bbU@4Nd_^b8C`P()Wb&ZniNVbIOb&rjC6^-WGD z$|j&AaWRyShfqH*R=^Am&TUXpQIUIYd<H;MUa?%GX<iDoP;*PJSb^fa6fPz%$$e?o zugk=9W)T)LA<B=7KK|y8lI)v1IwB;a0|<$+IAWnxJkQF?5`^Oa<Z%1&@DR$Gydo1o z|DvgZuU=s<Jl&p?v9O@kNp5F*N=KJlR>rof<prDMqr`iv@&h;GYSXTSI=Ah{PpyRa z9Rdhfa6}+BS=`U5*1z{F*6xF>Y8Q3n&A*RpM)J+TK2gAnN;Zzmun{x4h$5JJ(7&d} zBZ^T6=3H?~1*$DXa}X|_Xee2}mW{EoNJF>G7jz1E?&8wYzWM>z@?Mk2Y5><pph7h3 zP6F-$i36(~@TN^{RjK$cWKv);DEYIo;sSoiD@2$C#y$B1nv(2)I#fM-_6&}n<#5St z47;VI6dkug&NE3_*+DPB%LgZij;jl7p-p}9EKkhMhoNkiiefB3eqeoy&!lT+nqX>T z0whD2E=i{+auiYGltHgv{eY4uQ#Kx$1)K5e@ABMw8Ag>B_wwd)wxE9QJKpXay|6^Y zVMQRKU{I{CrKql6Y1Hx#P`H+ch5}Bx!S|pg{&16fMm%JNk23BMFuXj<<Ib##`qB17 z;giQy$WEv8;%@6QfQf$F&!0a-xCDmg1E=i-l<HCR8o$=pyQbK3CJ$kkPOYu2EiRgy znnp!NeuPR1M%3Lce`Emw9;lcQGX4=Lh^S;@xOjL9VC()U(w~@^xNzaZ+}s>cH#|U= z0n-M^Sp==PygZ)GycbqMT3T8)Pn`k>1CFKRYAHbh3+FXse}Dfxof-}X1_l9vvnV}p zLP777=U1*(yR2%~n<U3^GZglqZ(Mu^(ftyfvw_I8%*;eVAKg0#P4<?yll40Fo>hK+ zLi=muUGcnX?;qSk<h}3=!uDd_#<d7m&bCk%OzCbeqTxuiAgG~72sI@WH3|lp(3hT3 zod%O$>9=GFUeT72@p+ad1uuTA{fMMZqH0QNB(eI|XFoln#t{`323jc;`(0cd2?0SR zuwlTj9ULAOs|On;tOQ^YhmZ@_!-MYZI3>$3EG-?iF*Q7OckfjbggP)+tuP=Uz{J@2 z%GImuV^w`$zfS-9{#rpnA<=6u8j%de3W0!UrOQ1|eEg{H?rxFScy&)VQ(!(D&W>{T zoY>}a+dB}1aO2s@A;cGd>~hW1uQvoq_}%;4+AOEOynxs^sQR8}z#&3Y?;Z}ttqT=Y z`fo_MSp)<G1O@f(hIQY6d7bnY#tT@%I?uzBk1=(1{B=*JV8=i?Q_yl7okAP${xAEW z9am1A<_N55PROly{L!eNv33prP<T|Qn!?B}Mx>}|)5)=J+S>&$oo>nR$xFPARMRsv zTYzH&1^!|MBw;Kxw1cJG!bnCP9{n%=qHp7_q9U)Q8roZddu!ub8AWgtlw*UgZQlZK zKah=656(S`880;rtsk5)1(HY$xDA-Eg$knJxtW&t59AU^c$^keL|&s_ClN=ZQDi<{ ziM1PEIiEbLcW(?OM_$Cl#3UrrQ6yY;pPHH;Ffqwh5G8gEjT<D*NfY*M8C2zbK3D&Z zH+&<rvlsI;N?UORyia}%4OPGtL)h&zBjBh;eX4fgc?QeU7IhA_8SHx~{rDW`Fu%%k zQ+x|o>w9brsUqy27#WwHulMngp3i+Ms-xrMp`u~QKsV{w;x=WAH-9Rb1?S^mZsslL zE((n1v{R)TfRI^PIclEkmCMM;&}p|Z;JvOI!<d^46241T_<Xhmk^Hu+aL%Hhss6wK zW*|B`+PIaR?<up*SS6fDA0m$2@+LG@k<Cl}+TPyvnx~<cYqGM$ljSRGYIu2g>S3## zFhHy$67YOFM8^N}>dW0DI|7xSEUr+UYksZ3Q{SeN7MGE+?n(K6c6w}WZ7q1R@f8{C zYmHIc!{`S7!p@!1D$l7=HC&^cjRpn=iY6YkF^}#rKRldt>y%8NAS?|f7fjf96OZj2 zr+VQv{MBoNH?ZW{lLYIt`VNsvF4M;4hD7s8C^V|dl&OxJ?2R3&YAX-OO}&6^JUKZT z37VQ%{pL}TS!OY5|7%`vk7z}MihI7ug3T|U0~iKY)>5ID*E<sUkAWGjudm15D;!{C zoc?w5h2~#*;t^ADjJc}yI2{8+e#HB(cVuIyv@?eD1^k|-!Y<3}3frj3$x>1hXU9EW zhGLJ=D7wf6HE-kf=Xf<SaavV4TBS40_gm?62Y1cGlbk^y0a{K@R<^*8aK#^c?*67^ zIjZF!bz?_ysI;usg}=s?*Jhq`*MbLyK&o!!deQRLOPP#1`LXYBBb3X_7!Z#heS(vR z$Ef`SXbCm7Ax-o5(J>DlI0hbdUjDt|n1d45{H|>~l-pX1cyheH>yLwjlck)qwF?=E zF7A<RVLp3pZDpl8W0abp%_S#?<$2zFC3U%@yj~b=IOUZ?zQT8D-p^R>%Qu6z(9)t` zS@B*=aB^dK)nkv*M<Tl*zRVLMBEW`*^D_@8C#QTib($-NGbDI_(fetJNdBM~?^ugy zGy9yZ`ThI%jU$hHfn!Qnq&d}0md7Myp=4)Q(Yr_f)RXI1DPb@TMQBh^iV}TL3J~;K z<rcG3Qx6Rr-vIf-I>v4}m<M4R&dh+yhh5EuAm?<R8sh2^$(Wefh~Z}6w%MTX2IIGa zQk>-6O;y#^o9)VwYiY`;o?=$8Pp>{VGiZk+0<_5?Fv9?uvLjIG(v9MrzKZ;jhq`^y zULca?)$7N;d_f%ZP*I8M$)5Fyku&Jl{z$Q&7c9k?RC(37-6tn_69EbW8IWiou0ZfV zg>QjD_NYyEb`}7`<V^4cRD%J*YUXMlb&VKhJJClnR+II0Uy`?gHb=59GtFUy_e=cM z+?#q|6Uwm{-m?9D1z-RxL`_TE+T47{|75d?+({o|^KK_Ald3Xvsp#uAlfO)d86S{< zNInlo5r^HPKMOd0;J{xaEB0oB<T^)y;=T0=pke^6dg<cDGf*x7RzmbaqVd_WDo4xB zMW<L?GS{pA*xAa}kmOljkn%Xa3JD?Ov>~ZmtbWxNo`~0?LWGqa>@`VS0f_|wNpB&b zR^TBu5Y6zpxlxh$%^!GhgvUm>4zYgY<fXvX(TWE5UCHCflq{h40LdXiXJ^agZG+;c zpiq7P{(Z!;^WvpTK#c)~HT&z=m+4K=msl(Z>D`+O;lqJkbT<o+jEML?>ww%;N2~B` z<KyG7GU@5Y^73!@#EZ792Nz#j$=4sR)gXb}D2MgP%4o$ps9DoZp+jW;R5K-n91Lof zY$5}s%=^2$17>UhTM9QUfTvgJ5j@K2?dxNoRkrov3J$<&iT;AO&Gk0~5e4@4_NwHn z_7rN+4?=zbdfOF<G*~raPUch~cw_VPSAozhyYIR-HVjV)s@nT^?|59-@)*c@UFcta z<#yl6`Z%-DlWN7`0q^vG3mDW8SV<ETldCt##>&i*Fqld%LEAhwNs2M*{CM&_Lywn> z3--rpm*6>QZ`7r%BqFaqG_gHN&^fZ%f9#3xknRw`Kcj(j)1Ldox8F)=x46|v84Ux2 z%pGj$SdP`#O!ZHG=q~g3K$-#ousRA)LGFF<d19honmtgM#o*g3m;j%%!+EEcWTBU$ zZwT~FOhnO;d^fD9TmqlOjU^Wttym}-@P)39j)rEpomMC6j%VAVAWrL+TMPiPOmN+h zb=H2%7&lJlQ5$t+dAM>>1;T6ZqklHt<M!zy>c8}lZzGgrPk?8J(o04{Vz|=&o`VD8 zm1t_R6O{-rFYj_&;_1l=qh7tVZA4T|Ow8N2KbM!K&_IG|aNEvkXlVEt5AzLZ@MNwd zHsT{XTe@PE&-oct6#U*NPrvx1w_i=uinb#xFbQvt?m{Vi+50*TQ!QWmssG=y$P9;t zg$2mzYS^_~ym56Eib_h(4Z(mC7jn5R`l-Xjoo1SEBAOwW3f~Qjio&O$q@$Bc?GY9h zMsuol+ZL@E;ung21Yw>Z@FT2PrFKSmtjWD!tlAN|{pKRLB-rY<xUCUYigkYH{%<o7 z0u+~#(iU7qm*RF+8UfQx)O!JmtS3Yp`{BbILe@DrFM8gGvjBKtj;#$05NGEzRk(Dj zZ^-yHE9xU625MeaDX_4!=Oc{C#F~wx76cVmM7$*&m7dk-?sh=k9FU7667%XQQiVZY zYyuz#>+9>^4Wnje?*60&2~ISG#0|J`2#*Xp)!~d~Wo0WpsZHrpQFHB%=(Aq`ya3VL z1qLsCENFiTcG2~??phb;i5D165EdlJ=dd$v?RsM)UZwjs85g7d4dG@azow_rDd6PZ zAQw1+KvG&#a-EFN%+S#A=PG1OkOMI=FiMJwHg<OUBMaf{|922Rk$Y)=>0N3xx{zi8 z#Y|UT%0x%|GE3SLa4eD8{ZQ7mOE2L6J%&jSH@3D?s3qy~Dl7(C0aMY_=Ski^uF_tE zQoF)>6pw@?uLfh}e}%DJF8v;^^fFH~_+*pMO6&FTBk@|lXY6`>(oP0u1CLetiIVcQ z((}&7!lA^=zvnVZ5s)xk?aol&D`gmc4Zt#%1Ox(C>*Oe4MUa1qd#U;{!~$Eswj;dM z*!{;mw7MrJN*IN5av&|tz7d-3khwB;J{eBN``7F6h`(3y?o1JN00sp5s4LPn*@S8Z z%3<wX%CczY))c+<qW?SzyJ(>u7RHQ51&)HLzWQHX?kVQ52b#OJXXbL^ZZcdx*P?#* zk04*d$CrAz?OUatUcbd7mTuDN6a3ReQ}@}~i_Nl-!E-LQ>;F-5One+|E!y9-GaqHt zo^mwk&dzo@yzUE1Yj*}B+c7@b0f9CTG-b^j3^a;wh)<SxXMk<`JsnWJ*OebQ>zhBE zmflsnl8!^czuF#+I=I}rUHk4T;gxo0pvYo_)2t}IqPog!#W9HdqYW{eGO`Ld-q960 ze#ot(r=Bg<hzG8RiZ+0G&16PRGe15;9kw>jH%gb^UxbnsYW4jDFXOpnE`J+CeMDsD zAc3{O@%xn&EpxXB0lhEtJX){XqW+^@-E*{*Bo3!$w{-Esb!9ukXqVk>UD7oj=@{0# z@>(AxJE|)en#?JSl&=2A=<|O!EIs0=c*45YD%(aMcD~2AtwNe$nxH}}82irrC;C#| zN_)rCI1Hx$6oezGFY_+!R*RL0{Ho|kS2_l{4CRKtx8W8YsqJ6<)rL_M;wKsrMh^yg zi5q?yk^Xs*qy#gXn|RIPAOi#_dxL_YN@;1!U_*10h1^>giwH}Cf!-@z#q0?J)?VM@ zOYJ?<|Jcp->K`9y24ccbZVA!S^)DS0UXd$#tr>ewOY7Cs70nM13KT6Bx1<tg@rV=N z-bPr7cn-C8+9GA9-xt;+SFJ$J)Q5HqoD{+Zih`Yt?lD?c4{nt)-)6(z9LBY&Y7`d| zR}JJVFWPZVRxZdH8GC9;(Uo5%l74CL-?AlLNJ6tOXT^6IhOfqKkV+p+!;8$oi!7mO z?e!lOZsweK{Lc6Bt=1-{D;7mMhDx%w2DO?=WHWI77|zbBIirDBU>dO&(XuERY%MiD zCtJwt7APlZ+~st^`7_L5?W>kbx33?sjh^I?DHZgZu}GaoRVpA88VTq+r>;RYAgi0R zeRqh+X%tJUD8_dF_>k4kto~hDt<vp2BGK)Hr;$o;{vLy*>t!tXL6s|7i*CI{E0qO3 zZ|_!>;H9S6t1IIZmqy-7o~roi%l7A+&7N2ZpL}MB1!}(W`V4vdm9fVmgDR~p=!5@6 zAE9rir2=L)(Q#^9%%zXA3l__^i3}9df?rhnm48s#)tUY0NO~kRYs6?pg~!)U&+Pef zPt)|?FW2IStkWv24gZ~GtPj3l9m-i<OR!I)RX!-t=eCK(Zda}5e|Ir>EJO64jR`9u z-FLL%g>jhnp{uThq^?AVtCFb+-CT`&5acm-Ta16lnC+GUS!Qmq2Jy9?wv%`L2y=bw z^>W6aMOq&)AYQ`Lj9A?M?_S!wj5T%T<{p-Pp3%RIy%$GsX(UT)yzg-YnM1VMmI_ZD z-A!epH=pIdg|~mVQ5A1p8IP`zH87kWv~tU>UR!}uErR;Po=qm&!>N+*d?7TUec${| z8D=(rtZ&%a|Ksqxo9#8|wQf$T?o2v%4iKftX9M4>n6Hq<PLz_oY^RPBC1O8J?=sNE zoy+m>JsaNo3jSUCn=QN~mpHZSe*4y7m42T3|J98S5+U4hr(=MJY+XAU)2DU!%HMNx zkZABCM?IMZHq`n*+6@Ke|8EP~?=90$<H34c>UP0zGfsGD1BLU|KQsL4Smc}W>q;x6 z!|nWq#LAxy_W!}WmUD-ZF(f4mG9{sR8#q^Jf?C12<*J|}AuWw5q5ztE<8{bPXn(c~ z);LhfKrQ|#F_r?Q7Vyap!4tc~tyzp0U#LH8*SIjy(&l7kHB-x7Mez+lGe0~QPwg>d zBl>N`lfMRTubHJK_q8!@CZ<9F96%XCJq)M-4<DcSeuCvtfryyc?-2Xd9$a(;7etVx zkOpwKe$StCk(@w;^F_6Suk(Kk#+wTBK2fa?@7~?L75D}p4)-J6CuJx6?8dF(Z{NNJ ze%=O{uxw=_UZ7-sEr5b_ad7DEXhEj{E6j{T)kp<5H#hJ{P%rY4b+xpZ50@B^oF6Z! zOacVm+~2P#D#CvK9XKOGLc;2NfZknSzkdDpEe-gno}M1s2uVHWu&^*a&&?|o-#R-( zz-N<k>(;F@H7I5?WnzKeQkhaBcuwyZatO2q@PL51Zv}!2LZGFkrAPGxyJe(0{b1dF z4i2bJKnqd*@#OL2$AJ1k<Oly6FdtX3h@7Cj5xQtt55zyF(Mg%-M6DZ+2-K|+G~f<v zC@(MHW7sY!1~je21GF|+iJ$<tkscV#)NAl2BO?RP#tn4zMS#wLF8eLYi~XPu&tiG- zAaiDB=G!-6FI)giNBipywvz%rKIbqmm}GoFULKWp3H0Sp&(6-StayTK@!`V<u>Js5 zrQ<<!|NeJCI^dH5$s^>=o0F-R<O`dJ7%$*@`SLabSZ90iBLJ)Gyx1$^;oO@h0i#HS z;t%Mn>0iH`5)H)P8UxTg0?MuykTi_U`}}kt{=>3^&wLFX9gH`dySwACen6JTvRk?w z?^(kfR3hhKh?$C6uiD8RN*E~NK+6En;o{<Ah0VBTwbLR%+xCu*@&TymfHspvYw7Gf zgR&L2j&6f@omtP_=_|U0u)%6-YHlOIIJdC8OiW5zXE%k=)6?UKwO;9lQ-oP=H}z%G z=X|`QBOhk*5-KM6(d=AZhe&CWb}mUtBp3t27o7r@$kD|G>RKBkBO~Ca7kIqAy#cxT zV}m{u9v%*qsF8^Yhk36*b_tl*K%Rk`tGfayz>k(gvU<!g-BtrRxD;uiO@K&ZT)@N4 z4f<W8Hv=}>N2}o!uON!YkyERy{El<dN6APR$U}o~fZ0z^Pjfd(8=IP5zI<7zS)Oxp zf9?~34V=-hgg#|s*dxY<v7ENZrRnlHa|AjR)|#cQ5db+iP!<}cCJcJ@Cyl|xs;a8+ z7_5rTSf7CXfuZGj9Rr{Rt?oK0&&qfWe&5Iil%!;M^alIsfWpFcAnTDb6O?RF;yMAj zJc0pMNJ1W`ETB8L&Oz6>i2yTAQa&p<GFO+OI0tJ;csS0HwWnuoX6A$V@LLF2A6SkY zeA;-CK5&($9ODQ*r@wdS&ZA@ZgN-SWvNkt22d+uq4Wp!_OiNFXX!u4#@HH3(<w<2g zSR`?YRUT%sx|O+k;A#5@SjZ?EH`tw-iQXgNZ3HJD;j$SaoON2{jt6cJY6BR=N4IU0 zWO)J{9H*sz8pJDYMmY%yZnrH;wU>T=mnbM%S(Qc+AXt<a0v(A?v7>06tsD~;HudXQ z%5f!&Etc4gv_3dn<#G?1n_0jKQ(j0D<X~s_^z6iK_ae}<P(B%ej};7Pcnq352b+e~ zwY{Hq#?ing*2~<xB^edm<KW-`MIT&nXazz20yXCfD(awwFO~|Jcml4IYSy2uH=JL; ze%)sD<EksKEA?c<3?CI$KuT7Bf4^qo7<FBrZPG)pI+&bBo&xlrr#--*fJYJhhS&%w z6NJ!`U1i}Pt2AWA#b51-2BoyJiP*|1)x`FPlQSfZa>CG!SfcAIW(BWrmpBRvHo%@h zdMs@xJYg4ux9Q==XrJpn6clBNuLRNsPQwH;`wfg7v8MxSdO$+f*xWlUG~KrmthHA; z9@K0u#offJRRfyt*wr;cQbk@~K3&Tnkz6lDLqn5zZdqNf<Cti!@7E+Zw~!e7MEeFA zUs4nUXcQpGfN>O)h|LTF8RmPMr5~`Xhw`=X$;r=ueZPiy1sf?UYN$P$)tQssAF=_M zRe4xgO4`>x2M0(~IXXGT0VxCjeC6V^;;;JP_}eb@Ib9yeRb$XB%Mz4P8HEG}R;5I+ z64v0O_s1cU(O_0FF@fQ|5lkwj0ff-JLlr1p6#i(JpMxn3yt}Of1LZ_A(H}ni`0)cA zw8|Al?V~KMncpIEbl-wSGs@*ahc=?#^RTzA?X|b1jg79N;@zk=H$E~QP(RwoV!&=_ z_WSboM$)L@xP<tFg`W3?80#FFl!zoXWtoT}zm9{^5*)_|FsB;o>Ur=A_*<(7fL8#T zLl7Yjra0hSY9SmIt4rIy(rh}bb+$gQE?hdRT1TjkEU)%#hgwhmy3Jy^!PRgS8An`6 z`XoX+o+si=Cr$Nkt@r6smO`q7qoY_b5xd)#5#H-vU;;t6BnN5`q=WJ;wCSAu!ex*r zAb&v>IV96&x!?7rvN43T=E>*hU{3Yg?%)KICFb?(-kGCx9N#*VVq7~2DjYL=Tp1!h z&pKSiBw&8J@e{rYVpsdCmP7E(&MYowcL<_WfOsKKjRU+$`lM_OoB8R{ZgFujk^vh8 zCm+ayz`jL%6m*zr2Gs>jw0b*ZyFe4bp?%n&d46$bZZ2|iEBG<{b0DSOL$DaXhIHY9 z6A=<aZ`4ScIlzd{L_tB%13QGcz5OPTrn~%_*~(z7T%FVk6oxyumJ1tHi3p<FjDP0} zwZcA!@iP<mVFC(D8k$A$hl7xE9ms+5^3#RX&@yNDy%i(@bF}*)(KAJ!8rTX}{V$h! zd24t=;*{$$YZKZeJ?ebMB{^bQjlLLxJ@CfJDvEOjnYe@mO(|*8v!K_nMeg2=?I_6i zq~YcDX#emKM4F@BW!R$qk)}pQ;d(zN9}WB(QfUevdWiQLNf?8i1<vpQfBzLQG0(O} zK#pGjrGZt(n)Rh1n#U%Y>dmoJ>H&a+NG2d?wq}7u3?*W>fP^|g99EDFN~~Noi*bLJ z0??!KxdRY`k0HU`zZK}xI0i|#D~_Ahyf@9)7X>m9ux>AJkT*6q!dZK-FXx;O)FrTj z?c?KK@Tef4f!4#p!2#*>XbC<j78`Mn3j8NTWdm_nb@u0;5EOxxs;jFb7xb<*Zi@sT z?BUT-ZxrkoOGCrk*x1aFnDAbg19$+<$?MXbo12@gtSrbY3u9HKRaJ+u_kfovXT>JG zSo-m!$?sJ$Jt?2v;Zlc2gy=0;GmM&-ZA`>{rohs$M6UpcT#lFfIp^WCd;!**u_5O} zdA40Tg|^(>53P7VfddvYv4@8TS?K)COqta%%c|-&tZ4@I5(Inr+M=?uG%=3WJX7S< z0T=+K?9Qz~%3Fb%nWpKZBxXpDB0s+uM7(NlP_Y^tO@J31serLKp}_VmC@27WG<EM5 z=@ej_=g*%**oW)h-Mh-PD!RH8kY_B+&CP9W1b|*=No?LY0*##ZJpw*R5yyFdO=lc6 zk<ShEVq1NE3cS}|o}R$`mF>1Mor7f{f!ompDCa{@Z%7uvxSkyCTF%+R4O>8$-tqr2 zJdAXbC?gPv7r|;rIuHFVoIn&X2VV8`2!fg)D%RG{PObB@>Z*W91uHcpqYcojVC3ck zgNK7d$=cL46!xb1ZLsu<HH3tQ0##g5QLzu)-xAjwAWh*&(mZ@<4_gkbwN>uBIlye% zaL|Q`1KoX#kkAb#45_z)rykTG-J&PYVA&~Ff$v({pY(CHw+{>n(JeIrOM4eMC<vB- zZ+086cHUo~yn%tSwY|++#FR($81|YZ<vVW2xs{RfwxOYY5UzU)bnr1=Ky0vcaL_C^ z_zqAQkkZj?l-`o17(Jd`JP$hz3-)&+@WFr@kpRWKFGKRuAIxid(z6xGpmhsz1`QTa zJeXa>#Snp31K6*-<LNb4(&X;<Hd9hkG+z%q>5Q)ljX$c|%YWfJDhw*D17nodY8W2i zIujGsbS-$$Ef7eSYb-1*c-jTccEYiX(-I0=oE#jErb7iGm$c2)X<oT<1*wBcNdXYG zcW|f%>;OU%Ot4L2NK{l*VWF+Mx;pq;4)LJ4IE8=%rrt7(0or@_451|fjx&tR(ZL~y z4RkCn&^gB)U0bDOWFYSpB9g%;9RTDiY}Tew@&-Wa<K=GesbosZ%Hjll&LE3H9$*R+ z_deZK1924&I}&ihrh!A{q^VVR6ai-Ht^IvLucMt((=Nc18FGo<K$K%xg24c0b|2mX zn`W^mRm{a@kE!A0U3hqZt(#+jEek!pbh13K^ot-P?5usOKEI>9Si{2CU;O|Z?aSA% z(72Mta0pS^=XkZke!3AL;@DdSUZL*z$5*eDJXyeWhYbRW1MCF*kf^V}ME0OW(5WpQ zQ{448GBst=`nUq045vdThK-QR?kC&}AXETC9&!twu|k001qB?+04F$ep1hb{!EfGZ zSJ;qJ2=g`|>jjwg2mXLcghfP1!R!DN1}Pm46H~kB$>YQPQUc98{y2DeozblG(BTl? zf}}-X2L?il>6x(nc@K>O7S5>y^p`;4UI3^suHj!NWJz=1>|36=efxH5YAUj)Cn%-1 zu8z~{$D?x`W<J@;MCI{o*RO+|fIIG*(PM_}MgcV~n3R_TYFJ1<&bR%bQUF!iku*@a z1i%7B@bCsU+X+7F`HT5bx){5-F9Xs9n^m&bms(tHDBj>wN&JFs^a6SdZjkb%ty_Q< z2bAk%ra8#|P(j5b(fvY0-4XNUMo$1-1kDHLhei5dxkx%eR&07hn5y%b==N<TW#u%T zyDEz%#anDdGFDdf6lnm5)>%%1%3Yl;*OxN9maS-(#?mZ?9meHpBhJtE8|Z3{EG&q~ z$j;mj@^Y(o-5=~pR$S8lSF+sonppwyATB;0>Gmch9L`pHPz{0N#@E8fFqhkVdxM?6 zA6Sefp(d!X8s37vphh$Sr*b$~jcLyB2o4#v^%UwgOu+v~hy%>b&0U5AzPP-cdm3nG zZ{M?*05++suwAbK-dd|!U$_NHh?Li5A!Ar2UylYrXI2*V(Zv5*7^Qi3!2X7ONiQIv zd%Rr((C5hYae!?Jyt>mU0>!L}da!x3tMSt;(VIj>)g~Q8<N1V(K6zj-Ics^42%7~x zX2^-6jw1fC%954Af<?B4)xbi`!!*<2#<42Mg_L39Y!Ix#+2$UW|FNNQLkt^2EV4>{ z=s4Go6#eGrAU|%y=@AOR%$7KTA_u7|LVX0WoCOpYz#mkUl-+HdJNyMXlP5JoH$J?4 z@xok+ji>_@H%MV%yJ!Gs85B(f5BZS%#ODKZH^>Z74nP~oK%IvxsHuU%4q(fytgM7S z0E_e3LO|H7kWVBfBq;m=`#^S}f;b4~IoyyRDAv%thTN~w2qmRHLRJ&lRO%WUE#VgL zjt8lW3A1`vQ9{Aa0+HX;)O5AX8m1OxJYDGKh>e8CF;+fAjYgelYFOJW)OY~?n2mlF zLkgqDiRjdO6&kv~v5~Ybjd&FpC;`C?3@dPu%e1H$*R;8weDeL{&RL4I8ZHq5%*8s9 zGgugI6EXyyI>Dl#E-LC5fD@{x-s{-H(ShhR$-?KoW`L(!z{}R3jmgBOg9!kwzg@(8 zdEex9tQK2lqWJvztw8_p2KHPeN1les^3C(x=oApIa74`KYhQuqBUDTQr|tqpVizO| z@Jd0SkxcZ19OWEfT-KpH$Xoxh7q-ekN}r2U#3;n$m(xG|t$RRG<$soW8lr}+JOb?| z+GVJyd-jG1&d?Czo}QjhpB{i8t2KOx(%aT{9rVTCU=TLFpu-P<QO3DW?|!BG3o_=+ zp&T<{p+KUf;NU>oMVFpur$ra1K#z?X%A3T<+X(RQEUm0S_Jt!_(Q3qU_3F!4uU-M3 zvUFV?sdipQa$wL`0n7XD-8%>rhsVcE>P4T1hcznVVH5W<J>}Dd_p0To>*rnSCAtg^ zDGsxe<G05B$J7;6E`4?Jb*SP4TIc@#^b>t^aJ-BRwYObc<h}xj5ftv|y)iW*DpQQh z7a614JdNU&X#qp$L+_Cd1%KrZSn90N>jwQy^z{`ozKxA(Q@xJ}4>$8M%F%34te#GB zx*PNUy;6OQG?fRKETiMJb4MmAJ3g(qev*&IHO4j0G+K`2qYBL(5of24XiYs1-3s02 z7uY|rYkcYHcSE(GrHD04Qil>#he1UpxPVZ|eGv2Ud|erZP=M7$t;VRHQo5Kp<vXxK zK=i%Dd7C|L6Y!_?DG(J}nwr2;^qIS<kgMsMdoPA*h?Ut6;~aCY*#?Y>yV4RK;E0Je zv6yqq<g0?iX9LhCKh@60o1HS3oQA7N36nsfPP`4B0_l6=(^z*gh+rh%1_gah00Wf= z3K7VH3qx3J6ij{-*X_EK9>?=IkHBkU<X&m2x#$$Y-2rXIq*SF*dLBI9Jso!h5Z@He z;mw;jAywny;nCwQgEOtYtBaE|4Gu7$=Yf2-GRqAe)tnQXnOP|(F(#}+J%OrTs`lzu zHA4dWfvBQ^nOHXM2*iNc{lcG8S*#@n!_hv8RVBx*8&zMAy?dj+XMdT93vfaW%W(>0 zb}d&{QK>P(T+v|l-+O9`K;v(TTPw_7x;I#$LyUvd4-gKFGLW#qI@R~}Cwt&zPmjWJ zObYeYt5>b%lp@H~C|*e0?|;)7e<y6PkP%TXlCC(;=gInzqsKWV*EB6tr499jT!vr4 zJDmq)ShL;x3@>>y6tjV+p+me9r^L8+EtcQI#ZR``dC}%7H8vXLuswj2F>%AC0NeHS zCc!>nAq7RF2E8B4eK;i$d7%NazpJb83&zD~Nr1(S_ZGcQ4jvww;%%;dh>eX34{x4z zSS|Wcf7=Q3^ZYiX`7@|9F$!6H-baMg56-%#D^pume72x&r{}Ag%8Sm|n7^qq%Gvg| zGbzU<Y~i@?VUbqmNEdsNX$SUf16FlIMo#F)_V)J12AB8A0n|mD)+1$bh>)qnhDSa4 zz?da^?S8a$#wuN2?=-Dy`~d2@zh-v5{YGQFp=$<N%*de5`XDpxmUW7>+4XENssYu2 z^jktdQo_RMBb92>pJ@{B2MhJU8^;T(y><Na=Wvv?rqDfSp-FIDdb&Sb32^V)kK$B{ z{mu^$?*aERQ6RBz^bC9^q9P(*fQtOzDx``+zphkGbS;>ap@$e8AP`Nk+=Q{pfrw7+ z0TAa6B$of%U%w38IrA)t0mncd0gSr~z8&*xi_R<UlazG?yqug-`fU|F#|2|TW6=CX z<sXh0ZqZMK|51w0jSd0jC3^g0;9h(BieA5djZOjDI>rkG^wh;3_E}y=qX4EAs@{Cn zzUWM4S}0H=B-7h7#jz1Ft`=ugZ*J5CFE-Ap9{$*q<n<F*;feiFqnR_3uQX5)owJvx zVrb#T&a=L<vVwqqWg#J$cK~<<xVR$oEdwFvg$-xDE({Xsy-=mPySb?xaa~fe#rJi6 z_FaEBrr+{M)Ysq5>+-0*Tl>n;*$VZ2Zk>AZS(X#;CqVDWA_oXxh~hRjHtFf<pwYhx z4j$m|jT{DQ!exEJ+Sqs&+@P>upzsGOh@f+y<^De}06{`|xwE$R7~JZ<zqUF%I{-Nc zng+ngw>2YyJQ4m-ND#0Xz1r(81mglMM%yP{KO!RN%L@*c0s9#oZ*a;$YO=DjvS4{q z4>n-vdH^^KZw8W;pObTcKG8=PdTRmIZF3HT*&ERemRu_751;;2zScS}gck8$YKUve z-H0r&(Z}7%i4qN(<{GIzJK)#U)09={e|uAC#Ajk6TW+>#ebG?dR6c?ymZ19gBnKBn z)9&UG_Esq+Ooak2Q_NQO2uK&c3q6ZSbZtp+4#XWFKmTH$H^4A(G3?}Am}?>wH)F&c zBhB(^%RKOVfl8_;=gL8N5Dw1Xcy340NtNqSeU1iQ$v1`awe+psbYN2u^SZ16e@A!} z0PN%0ZlMqGSUDg}I1>^>(xPDSku#U0vtFPbzb=0_42c1u@I%_qr@p@IXm5u$z`7@& zFV0y{I040-&|z|ZFdYhc2D%DIfhYo-?J@wSOSX`H*FjqVf^HugumQ-%U<2M^K{gGW zEP`yJR-hdXg$oc^V9n=sUUJ%5P#B;5IZ2Z&|Mb_lK;X?m;(_-2fR``9nF;;w$s<^r znwq*W9)SE1)5icDK>;*2KE5b;ea^N7KsThx)ILGj(jLFcBJCS;HSK}r$8hB`ELKNC zGw|msF=Yx}aldhw!~g$4eHF6o)h0Rpr6%I@vTgx_cbS-wiVoOwp#0$A<OG`49rgsk zR;+2C|Ap7+sYz!R?0lqsp(1wwT$b`?iT`cNf%6N6-2gz^;(GGGPj3RLCm4UaD3cpo zek_k@hPVmc&ZLBd*{fA%)X09^@W-bI{^pG7p;CV2GWo>N-9v7)G;WwZk)WkZ^SevY z{f787vPqFMU*ebvmnjsVbjWq!*)p>#-$$y|O9Ts%JA=^4a#T=_p>1hgrk$?nygITA zySMrUADJyo10dGqimg_8W9GD34l80o);gs=XE<g(@sEL5yyFk}16U6#KEAk#=!T@E zJ3uag=mOyaN_B8A;*N`KZA=L%_H=8AilUAWK+~~^YdQGLBXvo=;4r~@fr7N?vJ8&A zmjZDm(n{@J_x(MAtb=Y)&(ouFn;~g$Z-Mbr$RKpprX8cBynKAMUs5l(RuqA`35w78 zxw+n^{+kHU!B8;??0|1~e>=G$CZ6641sT!+2=_>)mw=@$(fbH#Juc&|R$=$%`3Q_S z_!<w8gn=0wtn);X{-yC)`Ne5k<rK!@um+(?6$&XX6_$v_LfHWkVc|TzhI(m$j5n@d zPvEposH;2WJ<9ZkItJu85!hl8RAT)v8<*cvq|Sm+mn`3%DL8n0bnBx8yP}txP`l9O z{+i%DCkN3$#JViu*LW*`6+QjSD4$Tw-BurI>hg=XB`0LXmU)8i2m{UD%U7pQ;BmG< zwjF=#8If#DeoQ@SEbr(X7nzxv$;-_h5gBPA1x9}O(FGpUPLfsg7vgP`FCYyFU9U2d zZNsuk8_5|*HV{6YRGu0G5i^UUN}CLVZUg8(AYpj}c!b(J_<LM;Qocs1Xzcsf!YP0- zp&kw<=Cp9!a;5yg6Ga!jfNe)^aZpYEhrOy71xfGj-Mi3oBtpK!4DA~Lh*&ri-oL+1 zMrLDc+cmujJQ{E+8T?`1K=<H?KnL<DT<z_kx_EB2JlNs(L{()@gMxg$FHu&{=QAK! zK0ZEpJk2t*o1~;Vb9Vk1#Guk8Q`54uM_}I~CYAyOKO?yeq$Z9CDYrw~$LE((d|8ma zFiDJ7<JG&c>_CA5@wElujb8vI=^2=AfiEGZ5T?g_84v(ov?!n=owpZ?ikM#@iUD<i z8NT~!kLzOZ3+#6>G0@4S2cFAu0*8}>O{fbB?2eB><3-+dd=H9=|0&^mhMt0q3I$YO zZ!Z*j@T~C5285)f@o{mr&?s*m`~Ohj6%AVn(Gv95|08(_yw-#WVS)iC!r_DU`9qjC zg{m>jJxdOQsu0YAkebwMzWHH0EOb+Zi3^is%WvNS&5RG}F<94zaX>bM+5oKUT$gUt zUClaIEzmYMFerg?v0Biw6j|kFJHYe~lD-QL3To}_tcN%S$OR34a)AQqNZ^3efpR)5 z?*nlMq-v0cS&BBHT!Wu0fo7{--pAm#>=+()TN|qaEgIl&%JNeW51@hH+ZaLZa8L9N zm*+td(#3c)03)DmeR>)SQz9?DwyoJV(5V4*KdQhcAW)x*zK0nMDlam8{O;M_JoH3L zWr8j%AAm=cr_PJ`{a`09Xejddz`b(<Q$913WC;^b6r6#FA32nc<fCL_p)<}2M&lg! zp7n33=?HeqKcyxJ95D7)wMcPF_{A6?i%>bd!Fvqwmxq^^?mRIuk(^@Y%ZqCxJb)lZ zh9LD+l$E^+4IQ%fPkv6Zx@>ZQ>+LBgC&!~t<qt^o>Xj=Ig^gpc5)<`6ddXJGT&MtU z5!?%@_p<*C7ukVO>u6$v<<KXFf(k#b0b3AU8|QZ-BmucCPfw?6{eWLRKr};{&^Dw8 zVjf6YaF4c*4s?htt*;l#n6<rcn}vM<*R1Q8uJ-o+dKV|B{`w5+*3X}l+f#Q{n2Wpu z`uNio%|%5;qrYCK<2!zP_^KNoN>fXV9Iy-M0{(B|S)lz1T3`kx-@*?P0Z;|Jx*-76 z>Hmg%l`Apgu~Yt!62>u5fc`Dj`xYlA^UUXJnx8-IwUuRnNpzt`8CB?>Klb&PtUxkB zRfvm^4;p*|9|)XAJU0s6-pUu^v_u@Tr2jFHG(DWYr=NH20Qn;tNmJ0JCyid0d<5l{ z(={OZrO}dyf}(&^z$ZdpF^P$Sc0WG>Ys14(ShNX{98gBk6p9_98GgGY-76C6(8I0! zbxNA)xR_Rn&mbfO2X_F=<$SHJmi=vF;v{JJFct|kn7p3OC%;FNcB<#$N~WXYyzk?g z$Q#<y@d)j*Kl2q4TA>T})O<K$i5F7PZuz?;i-?2;rCdnHpjRk%PI^wSj3<?az@hJy zWKe&Ujq}tj;<4~!=xIHi>zqD3wLjK2Z04_`q~JtfA6g<$DBT~PCysR4Y?&~%japys z{V;!g5%DUHO-j(q@=!Y4Yno0B1*LmWogR-uSVcu;H5N+3<0io~WVdW{`p~jYxrK^_ z#S*w=m-)yrQR8JzcUZtH0>9i)?fA6L4=KUG_5rRT+p82d)n4%`k~?=AbcZC$7)1xP zmy6Gf>zi*1={Y%FA<Ti$@>+Xjbr1N1?}t{_5b3>um;t{mT(Dz7nJ2Wia1TTA-F~PA zR=SY{*gO~%5XV6$$m?5#KE3#a1ZZse1;1|O3fxQ2rQYl00?-V{liH&`zqz!u1nz(7 z1U{rO8R%}vS}?Y%`2>&ftH>n?r%?i;SitHBb7+$zwBLeu2L^Sf>M1vXr2y1*bteF1 zz|Xy~!w*&<dsEg`7O=YxTFE?CiW>l2LKJ!W^V!wASJR9^)Cv#RUP#QBOpjqPZUuJ5 zAA5ho!*;cfHddDs<)`O2=(+FS+gYDHhwne$PCQ5U4ZMWNUE`|fI_?apADWxGQbPr* zfdGNw%toj|e0GDPVP4RtSbeQ}xd5nN+u@S?1ehkKrh?n;tN;pC2>7_U-H_&R{@s4~ z#RwgB^$$R8!zTf*7@U~00@g8<e^34K<7f13$o@*zylyk^Jy(W5tH8^942G6iz#l*~ zgA5N6yxV|^+Q9KNry2Zy6;gD?PU$Sfjrsiuy`z&jbZm0%i~R=aW#&zEI(kvxPq;E& zbE<q^a#Y<YWg<A+ICd=7+$B=6=?ak{Ja76GsuizgSgxB<w}RKkAVWz0s?U4<jEPV0 zo5gARRMZerp>?#Rq)>XS1;=-b4tY~)nV1olh@@bLm*a&adcgx0iqUJ5^||+#Z$`DB z4?su83Dk@EIXOYjzjXXav3DJI+jNJCb9c!``BAgiXm&c5-``GOdql0e{DX{;D*q@2 zubU-At?J+-NvlII{b}#v$J+ZF)_<(o=tA_ryI}9=zxvb6Oo>?xeyF5uJbLvzZ6#k^ zr?J5Qs$KFU5a}NM)TQneW5h3!eCl}M$6o&=B^L#GH@xo5vV3e>*5c=H_c%m_>&7uj zV8KQDKMl5b>_7Zzch^q&QbK~+_N<8HopCUwxyn1$@!rxQ<dy&#{P;mzN-I9K>v8;9 zQXjd~fnU9R<wOFl#D_AX*Rs|F=I@+FFiShL6!dDp{28gQOWV|q1m~mHv3iV9L4wF> zH%j`~;!aFA>zheSRmVT4bullqbf5-HT1;RB{(d&!y+%^B_<~Iw?phbUq{Y;#ixg4g zr+(x4k!c}#UTV3;??npV#XLy7{im;KRV=;9r?ypPWuuXu)%zKuM0;fekGB>zI7cmr zd(>o*!)+^0{kOH%>_+R@+1FY2hy;@lWVUZP2?UhqxKyXwKSm#?#W>6Spbq(a-{{!0 z?jd7#Q<4chZ`(WcK3a=>=B|2SCX4#4oHi=FzvmLATeYQxOLdV?bqccu->}DB`(pBj zkEEsS$haTRh+^=_HLu$A8%9u3z%pr6UP{)<qzeh>z4f6b)xz_0d}doiww<mSP5U3q z=t#odm-9~~WbSC64}&qj?U#5}YX2;8?=arE!u-E`J_s3y_~5E>N>L5vC_`U-p33q7 zt+QjCo9f!;`ayDSEAe`#BUXDPjMvIRBFf*PLa^ulpH;(;oV|2^ua7-Jl#dQQ%&Oak zc`465SR}L9g56zaylSb4e(YJ&S8^v*#K6R(=+SvBUAu$*7hh`R)G$}W-<kJt{-}DU z?w!?cpIrERAO18zYuSo2&FQT2#NP?06b{gsWN-P=k}{M-r2c<(cIDwv?tj}k=U2x; z(qc(TiV~u-Oj%OGG!#(=W2|LqFtRUMk}X*}k#Q_z%9>>;4B1biO?H#)p^33ZG<NUj z!Fhkz`@aADuD7c{xUS}z=lMS0?`OI1`*SCo9}^5$9`)yFkYBUViyCo@ddtgRzusnH zv}Wtf_;R_&8l%Zb@kN3pw7I{%F05qzDqE&Q9ZRrk(oql`T5DVO#V9uNGCxVSQ5gr< zJ>&9=bexit%3;B;>Qf)3H(`U+P*xi!;|YzkVaU9mF6M0O5~LXyOJJrr=;tO5y3X8p zOOsa79{K)z4b^SUj#am($=Y~j++qH<ffm~JBYnbQar?&iPgYCN_?4<=<{k%+k_pu! zN@=za%J#{6KQ_qPmwan~*9nz+p3YqiOXIb|mpO_*xo>ZsgxsG&eSWFkLb|#UI<kc( zKI`0=?g*ZD_S&cH&>q_B8k+jb(|?38RBR<~I0~URk8iP=eDxRDBty&uYx$LC>s!t` zRw%NldcocwG}E@B#oK-RxrD{D^F90i*<@NF*4|Fe2I9TnJ$ujIB3Y8J6_1g)%Y-hM zuQN%cJf{WEUq<lN(-Nj51IISE5-$4&uTGfivtIOWtMhNa`ZGU~jx&8Vqm~TgGcuCg zcKlS<A3U@ESbl5$<O`R79k{Nze?mdL{Zp+CA<$>9ok1JTRKGoHhv<*1M(3{Tn~r2= z>gF&~c|+G9jFcWlR_$(gwFLFieq2?*OkekjuFr=Va_3KA3~e(e6ixMpuO;@&crHgA zNRj?TA$-DjiCFGgMLxyWkz2=*bX|^HcGFd0q|;IpY{)ow)A(YctPfU><p-T#etcwo zN^89|L@8op_NDj~Kv_$Fid>9|jdfJ$F)%chhsLa;@8GSaFF`v2eNFm2+aeWhAotu? z1yfKaaU|RNK{(|?nqcy+Yv<$|dD+PxUa9e$FU;{hRLcQBk_P4`dptK-z`T7ZVsDtR zzY!M8cT0>^x-)}l9)iA=gBJ@IAv=sk_@40|c9R>wlm1uS@`2pjn(-s{(jFI(=V*z9 z7T_$@YBehinMVzfZJ`MWsnxO%-6MX0`}d#=<mkRk>5nV}B7?h1Uuq^9LlR$Yqt<g5 z95eE4pBE2P^=e`?$c=9++K71FBuM@+pVV1>1QUa!@~M3UM>-(a`zt&PL@_a<jUWhV zvjnRN$l)gwE`ylYv)|j+t$rB_eJDFX2mri>L(r?+^K?UG##S-U#XhJOX4j>U9Ybfe zPj(fJq^?>P>-Jkbt7909TD0ZJ=sIao9#eGFVwP;>o<Ho_Nnc;3|F(5EF_yKVjv(a# z_qoj>TWa4Nh*=a5M1#E@G=c`~mNZ-l4<zEs!O;E0${B!1QHtayK(6}ymnVUNvHbc7 z8qcuWK~NTTI4G#jzB_{Iy<LmgIu>;aIv5=M3E1H|iW9W@fDcDL4qRBZV2L~Z-H&Qa zCE<o==ex^|qTcSvmvB0S4J(o^Kna|->-gt20{Bg=n*XFU_3~m&I*6E}fJJ20tozy6 zWbzz7aiWnx8=GJ1Zf63Q3@T^;=_-~eX1Chhc&8I^3jmD>DLDMa^Ll(9W{O1G)<MPv z_1?I)OjWU6YwEiq4(f+mALW$NexF>RYELX4YbPe>&xjWb%8xa~;OfKec6`7T`Fdwc zU~{D+4u_Q*K1k5mkZpIzK-07WqjxaA#{wxrN@C*DN7-hih^m;b&MhtKBMtx#4eV|D zdJ<mf%xw!S76CaA#{*FrY2%gF>eVRU35reBl2_iR?O~JfQ$nRPKJd@l0=Fs8hkIjl z=(=%ew-SMmIGS3Rt2E_H&qhvmjK)!`q2wY-86(geEa>OSpIIqBIsGXBKNm`=&b3?& z#evKz;=zN%LSs@vpo>rf^l$Do7IujTl#=@b`n9zZda_z1{%EB}U5-QJNe=pUCs+#? z3qKx4=4zgh&-l!ZLdm8AMHfQvcec5}z~4-S`N~j|Q+0N!yqFlcApqI<$DX4d)f5tm zZ!xS}1kbJqtuxZnStC!R;Rrq@KcQ|`0WNnGz09Fys`Wy-HV<X@_#4F}iHLcV#)vke zK7EcKoRQtg4#j%_OF~>mK?8aas#oCU)Z<-WfH*nzXGZPd8EB8(JaAd<-c_oOLx^V~ z03}ePvR2FN*1=U$!!RX2E)HI=<gD{c<Vd8J!2Kbp6R7qO`^5Zw>=gbRRx!V_sJ<mH z!_@646}Nb()CIMX;_c8-JD)1;SsjXyL39~V0~h4wU3SxGM0OI$q=01Y2i_2v7$i)= z8HV9enKmDQL4?6l697s8U%+nfG0zZ`(a=GdK#dMCE0|EWLlbcY`a8iAP?uy~PACMm z29T0KD}TCq8l;*^OVS}&hNWH-HkXWYGP`o6QMOq*Ha7OhL{W1&KHulg(mhM_OHB49 z?#wsIZ01Hr0%v7q3N->8LDTd|^u5(0^Cb<MRsOwuLF@N7f#v~PeQ0QYdi)mkrzJM0 z><z!@Mz)xI3oS0lSY(2q_e|<4&!~g&1ym%UjY%0TPizNci(sCHco_<G3DD8Ex3{Y< zji&8)syx-U)HATApsKnK1GD>2-+Z@6S6L)j;H=A6knsAR8vqFZ$L#Fd!dq2P&}*Pv zV9whZ#FwBtf?io(uyJ7RXK6Pll@}KmrXd9S*9nlC@uU_P&pkpPWJ0*&l&SnO)6wT_ z>Rp6N({yZ=U%LqL?faYkP94?P^!34xk~?V7R`xq6y!@FN@rVWx<e=caFa;k@w9og3 zQ!lvM&#hUdmRl?Qb>pBsF*OEtIbzJ$P{{Lo@U}9%2C#5R20aLf*n2?+pl;a7Wdn^q zETR$BrpOz@lRMGOZ=O!^oyFv#i4~J2J;Vy?F6xU=ze!rBRg}m3(*j%&XW-aH;Spr? zY1qM~cFI~Y2r=Q%D+xx%-SCd7#{2@{H7jW6IauV@Mt;y;gN!$Hr|?=mzqxt^M0Z!% zqpbrq;5zCFj=4w=ME3jFP!_&K@^1&E%xS5H*ZAd=i4)cDEz|AX@<b8n0lYw`0f%~z zfq=9#(o%l)T6_k*)Vc3}{{|5VWPY@PX@0erziPec?GDa%5vc?AESLv+%J6>g;V2Z0 zf7r>bIoPw{!9SUuYK6@Vyu#b=YbOtCQgLYyyi-k#zHN*=J?S&9^m?IXz$#cGmfisu zCJ0!`Fy@PyNeJE>s-4hkCnYX^W*tQHt$-augkAk0S@5z-Yq?6^dRqn0YnvjFXJ~f5 z0NLUMNNYj3XaD_GVPT<S&DEEOVt8z*`i#vU#L7j90KG?5UVhtBF+n7_oyqmDUq@Ih zFJ)TkFAryzSLdgkc{xHr`Zea~4lREb%bq}h>3Wrn^1gEey2rE`U%!HX$lMCitiY3= zV<1yA`{7ymB5FWh=}4}9l~b9zc!5Gq$EVcw>88o`?&=dhw`A?EacP;{N2#3@kW08s zEL~p71R>B&%AF}@$Nc;7qHodfDh#OEBlPaiu1(H~@ah1Ihd>HDX?AJ60E}*;Av0ve zo9TOfg?@Mm#QHzNR9BuJpEk(fY&+`az69$rY<mWCrtc2aP;b``lsYWY7hn#&y2jO` zS=}(WPRV<&CCjNQ<+ET@%GykZ#?GqM*@)OFzb}S+hz7X<BqQ1g^_Q}%;MWTx$0P+u zpRbS53dG*OD3k)MB>A2{bibf4fx3nT9jD`mJMx4Kqo$3(eds8L&>UdNz3n%Oqn~S6 zUweeEKV=kmm-2XJp*9CC>}&Ir9EjsQAIx(_hoM%`shcRrj6(G<jHs@A57qs_;GF>X zT{sSUxpYYDPv74pz}0te)CuR)E?sH<g=*xJm1knR>fcf48fDUo&}#@?^skx}0g z{@hH;fcf(Jo6g0ZC-)LVIY2m74O$&26XwC3HNIxWI*&-F)8X>RB_(^xTy4RSGjXBq zi4|ib)gL<QsvjeE{H#y5-a3m@FQPt`D)T{*D^#&U5p74qMbx{j`Ne7Rs^n&KCp8|W zqiStvIQc}MH9@D-33E%7Xmmf!mm8Y<8BD)ZyZ^Dtc-Xe-po+I(-~qiLbfk`s_Cqrd zxp5^EE{@gxug2$L<l%Y0AK&4nx$%I8s-4`6^HK9_4Fv~?!*jXjt2s~$zz9<pAP+a@ zK?4J%-YSeOBZ4hZPu4JH#5Tfd)ZB#mI(FH`;UQNnmZ{x=9fF~K#P7BglAsP`90B*9 zVy8(h6SLB@px_Kom%_y4VeLWY2cn6Qj|uHXS#7pIAeyX#P!cXYpl@A5=rY77_|?a? zHURWh<7WS(dMNx@%Kf<a!1yiz<L4=)Fnc}f*r(yZZ}%B7qErw_LUHBU>x`}vf{7?t z17zF-@jr!3e<dJ|=e01mWbtmKdA|Ge8_2J?DEz;Hg7sT7B>;(<QE!F~q(!<7q#k;~ zKu-vdPSy_uLIZ<jKzU%-d4an8?brZ35E5c60^{W>`qo}^$|MH_0PqF@Ipw6~JyA^9 zhcVT^4!vd?D$<qxAy>km7r1H2762ZBvYh~l1{i?oehQ?wDtk*oQO?2HPFEkby_>1m z5VT=tple#z0n2a*&%SUkNsZss4d|z#+T>py0W#ttlsYgt0#iPIfX$81afQ{svOw&F z8W(<oi3B-+-&+S=aAndP`CQW-sO*AX^mRhZ*1K2(XSr7m6qoQ8g=o{wiSoJ5FM5U8 zL#zTy3kU=IwGo?>_$MIjQuKN5t)=w=glve^3@q?GDDTIg8%Y6z?^1?u9e!&I*#gAy zkj)|VjJlOWWP~7&Dr9!r7gT{75eSmBhd@Ty)4D-Mjg|(Xk-SwMd+htiiHSZVWKVz- zjc$~T?(FioZfICw^y0A3S-@(cx*vf+2}?#(JyJRrXI0k6Z`a!cD6_TkK_w4|D(ZCQ zkLY%0q?te1FSz)D^~AVe<puc%)+WV-jlQnMKUvuuQUCF)ffG4G${}Hk??fRkX_0fV z#0s(u=#!wfvYu-Kb-Fp^IPe(vW%YT|N@vR%BoolZL8X%B3HG&l*j%SF1|N*ak(Ge2 zCqI5%4Alz1xvw)KG92)~6LcfBgx}2TX?Z!0{gho)h;}F&8;3RWe+0BVfyBgGIe*ZS ze!Skr>16NeJ>MF0KT_vj-8V2F;ERTJX6t?iy_2yN30^2bgBAT%12Xb3$^u|>xY@r8 zarbhuW5)*E+OGf)3P~=yw+jmCE2usIGDU;M#l;0ccywpFia-1jj4bSzvulB(F>J^F z%#%k`;T?bgauIYN;9)Qa(B!qzY{OhG!8nwFYf!O%yzbfZQnd^sMa@3OtYI_V>Rbm8 z;EB&Outngmj>V$i72@w`A?|Z8W`BUnq-J3#A~p4}h&rS^kRqf|C>sS7tOrDAoLe#s zVnN-5$c0~>+VuixQD9ZzH%Z%BKqbtc&gWe_K2)NXfBxB<EV)_=v18Z9Y4m{ZWimS} zWejSvRL)x(;0HsPW@UaySb;M|T`OQg$RW}X!n&d^WzfHfsC#>tNj2<Lnfvo82^jrL zcMT8j*@YVLbp<pynJoKJ>&~~hGQX+2ZTa7*jmIlYo*JaZv_(GX=|wbI=X>0tzk^V- zL-?F>N|R>V3|wAXN-7!7qow6w@E>~)_Ykwv)7eFUWmEvsZ#TllNozK~J9)g3)S#A} zGlNh0q`(*SPA$3ct#YU-`y-o<Y|-!y2<?fGiz4}v>>w0VyGD*c=xc0jL`DHYGYtlD z2g(xuyHtk?6`zOGi@@aSwAt_F^QYu_%wyzpn|q}5c@>Ahd)?D=T_#Iye^s{GC`au) zw{V_)(4`DyUd7P+fsz_}Pn(-J>lPPwpnAd7+yhP(@M^tY!%?lv%_^*3DCgi`0d!6* z>H@BR6{>ioEZ%qeYwC(Xd?gW+S<pbRqWJ_3#?q(Ej#F3vRyDrwB$3P^bUmh{UTXum zX^D=6a1<jNQ6EDlt2htUK^0j8X3aCI`E<guD~WTnFdi`FS<_CSwJt-G+zYw_sC8|? z4x0U6(iIbh5Nbd-Q?(et#x4>(ns`(By4jJ2Q%b%j%n6c1TMlkMc#$diaMs3&d}2Ep zC$<Tlb_c@jAvAUBWz7&xup>=Sj*s=i=pTY!GzI(wOR_cS%ZK*uxnkS$>~v!&pk#U6 z{T2(C%7$|y(@A+__ZBV=7HHqlSwOpKD`>6-k~bYisM<mH9lF=caw-E!$1`_v$DQ?k z`d3x~r%0aSmC(hSq@Ioa$i_z1{ykQ@FWpT)QL3)Gh#q)*B5o?&_08bwg&Dk@NF9So z8r|)?g(K0K@kE2EFLS@MQnCq-WtH9hp94y8Wx9oCJ@tf0vsuTpxPsL4t%+kk=O%th zG`%D)ghw(EDc+{TI&of1nxaP0FP%=}upK}9rdOC_S~8#e_yqP?Fwf9~ISTJ(DfBom zpCu)4PFUo2b~cwGF{kVCs6<OnNJyKl1NEx)Gna}xcT#iDkMHK8vTo?Hop7+Qnaw)Z zaPNU6{UJ%IBW5%<apC4c_7G1>zS}~a2Lwj97lKFL#-ZU^pER<6c-40G7U1NGv9c4& zUzOn6@c57Q(x#GZRQ4`&{kVV8nmbC14ggs~&KNIy*t#*^nkD}|LW8O`M$z+hJ~!|W z`qqEkCh0<tMQH$~D8LjK`)vB7X<$p!HC_fWAV_7|{nBYdhdXg2{UYBW8vc~*fQ##} zXdX7mmubGfZSO;|3IV{W1Ck{QZrM$i^Bis<JoD6|Y(djiQi_dVbV|H@Qrvw?DH1X) zIc57ksn>;P@ZT0z+(Kq|F?M0eHn(k7Rkv-y)H&`Y0xm;69gRZllWQ-A0<<S))ESxL z?#mv@5=vNRq%EUTQ*6h0a%%Xgk!F$;5|ZzJntH&E^*rfT{-)hR*Z98h#FQ+z(}0|I zN#kBgE)*_G)hoSM4*>Trb1_V<VwNcLMyAt0cx-I2K=LilP)1SH%h}t94y={ueKX1^ z%4+QBvr!C4qj8FH>J9!BE^w(gJ|8Sv_oq%tv`v+o@YbhyT*X+~+4gKA%g*P9c7{B} zo&lshbDz6uOk{#h73PlRBA%p?(HZb&P-XbL&%LLdKG>MLFPBY7^e4ShM$zhHsgtK0 z6eL>>*mhiv$Xp}x!UHv`oxs!<>96}Wtp)W7tzRqTVH^y&DS4&{OD48ufmtj0O%8oj z-W`SKY<MliuDJ3_(ENGtaKZP5pusoEm6-;Zi%MDI&9P{nhDVnqp0;W4ShwfEE9x6$ zUyIE=TFy~ylB4c0pO~YwN@I4OST`#+#I=5(sb}j(#ffgWKAJ=ut7SxMDo=3)<2_i% zmvudhB`|6F*`m9+8eRd-k~FyB8jJP2g3Wo&`btAKBya1bL@syDjSZ<`U8Wyk4L`CK zqt4#qOS(`|litnmFcv)y6b-xi=P@_y1isZTmy^tdrk!ff9@?<YY5|AEakS?&PqV}f zUm7Vr_jFADd#xzdSWcTw+Qjj(8MVAbdn}y58gxN_IV^m=|A|~0(c<mbGyM6Tnp=3a zrHVtQRPG=IlrVy)tS`U+AeZ2LoKRl8*uMR&Gg~{Xgh|f{6pAg1y%P4}K*p5ULtT4C zI2M+&Bk9I+64|XmJX=gnVB?dje0u^7_1!V!M`Z0CJ=-Rr-(-@?&<`-VgfWui7PP)> zDQg$zp)(WmT0=esNDD2eC;NWye3stCcd=9SMkq!`-v8dp$PI;NL@o-;BIrZQ0npy| z0T^rZDOFh$147;kbD><e_vIOQo@B~?EWcdz^!PY^Tx098O!&xvXlLl={AsPn;OtoV zl{=t?x%2Gm1;ayoN#lQvDbhZq8ko2xzFTjL#HDHa%}8v*C2ZZQnBCdi9dj<2jQF3F zYFOuQqDx@tc>DI@trf$U%SmAFlcI-c^6m|1Hg2!QZj-=SNPr^_f7K0t!Aop$ZU2kU zgQFKLvKdJJJh{0TY`a4@kN)}BTg!M!VDqrB{hJh&3ztT|>b&+i*=mYxrQ^oS7HqNU zVSD#qhyNcJ{2wgie|T3{QaR7>=i_J?z$)%B-3E8#$`Q;^k7xVRrY40R-c~#N^fVgT r#c(-=$W6?YZIj0Q55M65JocLEs<B4e4{crz<f<4A9rb)Qi+leCV}w$L diff --git a/Pics/SatNOGS_Board.png b/Pics/SatNOGS_Board.png deleted file mode 100644 index e201d744d740f5f3252bf1da35b700ecc88569d7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 76145 zcmeFZXE@t$A3ogQuTwXws%EKCZEa$!)+jZq8fwL;QM>l0)nPVDsa3S7y_Fb=bRf1` zQDU@W2N5eF$a6*S`+i<LubwymSAWOhNF@2@y1v)-8RzHxoR_HkdYWfWUOsu~(4jM0 zchw#oI&^&G(4oV&C;kPmd@wtc2p$f5-PU?|;=~EuAN}9pPll&=O}q{r5;*w%XWhjI zT+DMwOHK8m|A(b<{YXBCG$Pf((_X}&N3L~9Yx}hOr#D3}o;x8LS5<A@PqLg&zNY3m zIxS(;`qUa(7xQn%8!h|3tEl>aYU)lwP7hPuY*OnYt`^Qp+|QQLYfkyFk~-R)E5kb} zhY?VC)7y4-R&1fsKgnG~V_yGm^jqG<$#bs^Uf=$t$rzuo&RU*HjtiyyIsOlr=)upc zkw-rN`=dmz%KyJ_M5|vs_n+stD%+<1`x&8&CW`<4NDFVw@&7#k_{f&@-<L1M+~E4p z^Jg~kEr<W}{Qiyq`{n<~m!(9-<nQ*nCG(un5FsnNkEJa%YD_4&bu!CT)z!yz)rIbq zy=+c<=l8u%6KwLwC$R^c`t-W(>B3|Ii{6(fJB{c&ZvWRFtO90Z($}@KJKsOS5K^f# z$;;~CDyyr3gY<iQl;H2gL5#OaKCUWO=GXDEv0vbeYpSEdJ*&lbu4eslcRVbp5GH-- z(AS&IbqA)_`M&K{3_R){*BuA$Urp0{#||BO#_`u^9w{+j*FT%g>eyO!ta9@*@(6ef z7kgk^x31Z?rwtcE0(UkOYJq{3i2t=MJ4D$PpIx6)f;0WY-^NPmlQMcd%|kAX_Z|_j zwD>8Hx(Hs<`TBQsmh-(O!-a-tz)Nlnf4^er>v>P`=R;N{C-88nq?Py1_&r%E<#EOQ zm+HXlt)Q1!>5lPHLR(o%nX8Gp8H~>Z|2wUuE>;X{a%>#OsVx5nbCE0OSHPPOFCVzf zGrN1u9qRdA>h58l&S@N&HPHYxSUMifje`ZRxSBaPLh-kSe-N*QyF27EkS`9-*qdv` zs94x^9#uL8hKz3g&zebIk<Pq!KT|YBM5<cPU9mhr9myFEmh+i5&wn=W#+7Qgih7g2 z=I^tI4&C<&+xT%#rKABY?%y9Q8~*>}(TSteQBGh&_Zj}W@_pD5x=RzD|Mh0=Xdd9z zR<674MfABGIy83c2v~=T(1X|@R*gG<AutoM$V7juBu-`LPXxD-1-SdWqa!0GJ`7(U znG&GM6{ocep~;6L&G%YMzcB>(`_~;@U=-y6hDyAUdQ`jfbhIVj8t+W|*Wf0><F@A; zHgH?@W?)_iKmUKj&)$D#dtY8b;akzYf}$UH+=uwVSAC5AyN$n(g;Ufk$lw(*roY?d ztkxd$m>cXg&zplg|9bw4C+ycd@QJ&W9PUtx^$@V%XSo>}89#pfP_JEG{qtkv`*69X zXq9e>+WEKhf70eye#LyC$oe_|R8TJ0f7hZi3@$E$)9>QZbr~tG<NT`Ub?R7W9%k}1 z;f9Ju{unt+J?zt0J#YCkBjaPX$8KBisde~t09Td(xX_Efr&b|~!Iafa!Z33`4uw*Z zEWno3H}_Y(y&Z8|--o3S=J2hoSpDkhQ~D9cpI?fKoo?~*@rY7X4fZIygi#5m{0xP~ z+N!8hq`*41(QWmakJW3TG@glE!L8TvkM?&qovCkGl46~BvQcL`yb`;iZ#UPMQZ1W( z5_!w|TU0I!guzo@1*d`O*lL2jaBF77Ix1?cnH=&tG4e@i(t}x8@45?zdjt0T$3xJ* z<;C^|0*CIK;IP15*9ooY+A>vS-||ID&1>Y<*FVyGPkG@&;VInsdgS)`KLfy3t%T{$ zS9EhCxmAFVgfk`hHo6+s`i-#XEsOqhvf|julT$Oo5gDlLfW#u{`%T})5QXM3DO$Ya z$pZT~mVFgM>meup^{R)6-^$cy2D`&RH_AuXqdt)sNEKXP<tx#{Bh>dxOGiwYd`pJ| z>UI*K1%AQ7(?L5hFWqD>V5U|AbgO@u!g;}+iFuN|eBQra`$0I*CAOcK^dYenMmz^s z;EbaHyl_faU7%Bzcj5^Gx)mAbmbW(7Tg(U*w53U3bKuCD_j&JjCQG(lBXnie5!jFz z8{Md&NhhXW@I;c&N6r!P;Ve`BR(mOLL#CgR{fkp6C-J5~Qw(~Sw?<{&JZB7}krjJe z2~oX_ljQDY%9?K@r)Advdrt)w-ru>1N4YH}QDetQUrN5&o_<r#@p-V#Kcs2q=*0#N z`yJ_Pb3grmz_3Y!bCDU1(v@S)B+>-klP^Wl?Rh%tr;KG;F+cGl&Fkf}W>V5SV~)5b ztN<LO@>{AhMi$_cDG`qUzI8k9?v}6F7-#Mlcs6AwC@AP0x3YOIu={6qwM?=P5Y2T4 z%he*9zLG5j{%vVsoqr}KGV3{s7Vq~t`b^`f!Ier*(?!m^RUP1)3z!ZzxO-iZJ?nE& zv%ZB}#5a*&W*@J9Vya5td@KGM5gmz7E|2!u<;Y?+9H!6ZAZjK#1X5ZLGyZ7iwZHl} zFd%TRKIy97_mW7w`{60dO!1U^M@bgzyJXQE8?fsqvn|156TaFyEF7`L&Uo=emVYrx zHyV&_ik|002M_J(rtStXq9K@GM(}B~aJnCK44C3zDAJYayohoJ?fy>wxYM7rt(m80 zBk@^E>oLX0)p_8SO-?POT0^AHE;6!LH-R!%X^ol-pi-&#!j6K~V!0J}85plCma8dh zh6G!$R`z*iBcLNg_~asWGU|zi-#%6-MzQv`#LD)KZigk;w4n?6!re}@6l6++GPu5< z9*J&2M+~A#mqMn$wlZ|;mIqV^=f%e!AvxP)`$%tIpZu}gSx259>ig}F)vS?0*sb!= zoP@8J8?0WB!Vj0swGS4n+4-Zqf?>JCh{>P8@V?5@^Z3UE7&_E7XT5%|BPPz8zDI=N za!KX4JfSy}tc8bjzIix%1?5G2kwMHw60gs#{!CvWoG{dE6io2b_%>-;Zix=1tbVFj zBIj%En)c`%#3RH>dhRF?dzXGSkUEM*N#5+06;ci|H%pp5&F|n@IoIp;E7b&^Vd7AO z>1igh;WxF9kcvmM?yWGQDg5%1FBMPcUl8*N+X?kle$kGvseY(TR{hfFTZ+9mHbfk@ zo0wd=tvL`!MW%>Bq8<qE1<+IZk-z5C${mIMhxF*`IL^=fRCxP|sp~hXIte4xp$k$9 zcCE5a)2hL2p{L$o#E7mpN-&pQ3i(2dkK7=HFnZLm7g=+E9@rZ=-t)qnSLM@^nme1M zW#zWh_Y#kFY$GRQl#I+&zViijBAQBh6EmulF5J_0Nr@zvkdl5?*{&F@K}F#Itfolf z%~7zjN+SE0hJOsND*|5?)2P0B@7_P*6zThF9F}hjJrq@UWtV+<wYXVJ9L!;DiYRr; z6B^&U@6M&^{a;j(U|M@k8!cH9x0+6v5q*`>{xyw5Iv>j5_>`F@VmY!qFkAOcbM`jn z6)xWEU0ZhyGcY)*0uaD2MbHiRWVHu!Tl{g%Gs>z)yL6=BD^V4%Sc$FTcF$X<E?*Xf z;Qfh<Z2#)N<O$6lOMj`uxqwVyxH>gAr&nP}7}7Z}%B$<>o162%n6YPiDQ7QEd4$^R zW{nkG_Vw8++si9ro%HiVq#d8*#CR}WPnoe)S;|_;8Z9zv2~JyDUQXqf3{AUQw%LD; zjtL~_n<FgR7bBqjx^EZKr*rE8AGaW1q@@bm;SGscIIWz9)2A&}PvZDtJhZKE`n0lU z)!obNtQ{D^kc`IhUEV2Gq@!-m)e#p=ZHdXKthXWb`l#|{4h1-~x`84bGW?DM(`R}w zBmk;=G440>WQjIM$ofo?Z=-G;9rY~HqkVylWQsUfMhZ?qsB$67Rr}pMr;5)dKGod@ zVK>E~rhPEHdu{D;%^#~F)ipP>IPXR2Bc%5&F0s|GEFC$ex6|-3Zc9bp7v3#I@sFeU zLrF8DL!JCm#o}kY%$JY7fSWMI;qjJBVm>1IMeR$hrBmF@0<I854R+QySQfeW$of8r zJzpOlWRXnQO?Dz@R*(18;c4||Wn0p}SlM86catf~(AhVTQpaPO7y&rq6O#3G`q1z9 zlJN+(qgnHeqQ>HWf%Obc=g#4dTEHcekEb=T72WSCOHP+n9#@zc3=nX*&dtf~&LLXK zX0n_RpCt+`;!6~Wm2EbUhd?BH#(@molaK$TV}DGHsX0eDLX@jH*#x>Zw<E4JRV``> zRm#&0-r7v=4VGFW5*^G{Fb9dH21AFHSWMfMH?NnkSTVgvdNL<qr0+-BO9Nacls*F~ zkW<_Uo`kqg36dkZ>yhJb)F#owZ41^pY7<^|D**2|!bOUjAl2&eJI&m|pILWIpdcgl zm27?lKKS4M0OWJAQam~-=p68o8iP^UeMsqmC5KW4Bk~PCY(SnRpki?`f%4{2)D5L6 z%uUg|y8-(8K?__YlB_Z;ZZ4pS$ZBflOVVd<e0zd!bd2<sE)fh**kpDmqq{I=Sais$ z;r3GCjosh#lu2buVX#lb_k`Kn-;7;|l<nNkRYlSsp>ML2gD32AM&66fJgFSm7k;zD z4!@m-Z=8mv%yYWK?zR@+M{jrMqbV&BBgN6QCpKhsD0Q!VAD>-Q9}<Ge<M`m`i*Vbh z5^Xoo`%OnKJfie@Sh01;Ej66BzpS%I5>;vXRhP1PZ3Q+FGKV2{?G#8*IuVmJYPoXq z``xK0vM0fv8*VRdh)#ymi2Hlw;!;=TB;{~{YkHP?kF3MT;^7U8l8+O}_g|Iy#!U<L zC2FXddvx!V`J%QGG(I9^5R;+nE9K}N#fijL3ITjGkw#F2P4^ek!@l-gP;i5?`@6pV zmVT?+z778Sm+beCoMYmZs+K*C8(I6h{<yP&fKS_Z@n74`^LboCnhe_vAT&8Bgg%U9 zm;!L<@uEk`rju7Q`RFwq;=0Q^TVtcfRwU#flnEMJ{A$xCXz)>)FFJm{+TAiYs2NO1 zg}U`Ba%roUy1CCJKL=8p)yzRvP}H0kZ4zjk{;LvOTv{|*pE^65jyIOQ%z<HlYJ3+x zQNHO!($ef+i^ud0t(0h1d<fBtW{w+{T<L92EUK?gKCWhK8%LoOI`<qmq`4~if^SBg zp#v(R^Dm|(M@JYW&b}EoZJZLgC=h7PF5_FG)lx-?y%IEp_ouQ%xRK>AD=RH8yGg%L z)$GZwsdsgA8LxLAW@8;r3zj?aFY^&WjS-A-x=%nO3VCtWt70x-YBzb1_)2TqVmVq^ zW>Y5lcys*ySDwBofT0@Ax+z-Dq6k^|9eTQdwpm-b2p0U1*o!<Vh5pJMJagxAoG;H) z!?aEj4{-pB1mL1yGQ(3I)#8RoJTNuigJ_!Sp^}?=DUdY7V8>p^snWZ=9X8K78s<7A z)480sFqy$y<jEnpPZi>QQ!#!5$1TsjkMDb-@k{2ESvoFH*h~3>DGM0W2u`n;4nb{# ztYaGBtV$$gtspOPoA^1%!MH%Po8zAiF*x^yhXz-=JQjR62Nd3bXs+J;vbwDJh3aqF z9`Hg7h8oAtsY3AVTu1VpXzl*pU<(Q)Y-6&)1)tuH)l)}!nG_AQm(4B2o?39e*4An? zA{^~`&Y8Xc4l;S>-@>8n@TR(IHrLES{nzMx<KyH8E`C$O>o47Z+#;(EZ*}?>0!V#m z`+y%R$owN@&tK*X67Y*YQJiJy7n(tXpD#2xWUcN>+;W_op9>mZ(bp83*k<Nd$@$gm zf{KV}6Hys8AWO3_EDIlf{e`F$=p!WyCtpM(kspZl04mNE=bi}L&sW)5!cC46Aa5#e zE;fw7N3x6|i{u(V?CEKz{)fGL2C6@P5@8cc+oY)a(!_+{Q9@C}kKYeFp~Olcxyuv8 z(SN9C94|Zo6~m#9=UkwyJCtN=Gw`~BapI`zYWswsDM9!cNv6<z?Q!yrKEC~3zi!h~ z)^l*Qa=;B^-Fp|^BeR04(|&6QTlZCeg1?(k$=gp?MM`vfjbv484?eCdTOkvE%s{D1 zAU1y;JE%ABLtTg77x!G*LfhAuhw!(w4ycwe-&NJG3~+Z5^(U8hoPL@0)myX@_1)D5 zcLHZI3_;<$=PBybvhov|k3rJ-F`a+5Ks0h(rS@EGq*QwULga&lyI)njm3KFG2U^Gu zz7Z3D^v?eZJZ+X_vOlx_Bg4wUksp8tt5*jeDcn9(w!oNmVrn_=db@@=bgJ%`EmrDg zWoP$^6>FU^hd#k>et292ah9XAs_=H|9`Ht2{jKJGsZLHveN&&V&z-=%9gS1zle@Eq zUO59E{TAcr&Yi<RFA*8b6SYtOGA{BS_ygSHqbxlv9vWhHSH%Zp5~-j@6EC#)7<rQI zSU0Do>Sfh1UYUM|Jq|Mt^&|<1MP<&`n3hkLcRpnrw!WSu*Xl7RaWd4Y`f`10PkHp7 z(evCbhX%jh%^irJ6Q9i9v++dKUixJ4PK;%+e@$N6PQvo~G1a##j9CSk-Tkyp<Do^6 z6%Tc)qUq^JIEow&DZLraQ=y8qHiOwl8CC|@`UUVjZ%!BqMY{!J(r02^RFb(!&~4Z& zyllA>l`p6n6IA18F<HjVBIQ-wwz=*E3FUC#h>Y{;8Da2U+JTPz0pFi;jvk9{B}siA ziHGlzQfW7owTIV{4*S*3JNF6}SAQxfnv*E=PTQ;BEIB{;sZCGh7?W(V9v@W3+N~ve z$MRit&9);cb>pS>`Fy<XT%<g~pi!(*<>u8;eP*Sp{4&6=JgZSV2sgqE&;r|E51UWF zon-J;B<YClWYRJ%M2t&aoKT~lPU##N)<KLwjz>*tuCSLmSMd&3WnASlM@*1Q9v3G( zk_OA1a70p?8h=+$%i1~1?U8Al#|NO3yT)l4T%TKmCURjY6pK{;$&K9JnC4ytyAfF= zA|t}J$(1pv-$h(VB?L|J2R7sO#(ef(pANH54`fU325V4p`|n2QBo=KtS%+MzJ1#7y z<n%fxJ*jS#g%M}iblT-|Qx_#@@yXtdu@7FmUBP-o*pnlluv6OYisRdFAzQFxKnf8R z6`czz8^cq)U-;uV9NXpg|9m3%?O(@uAQ5SwPU>cJe&AHAnfD0Ox4F~TSSDIFhE{Q@ z$2*ZHXQ)5!^pf}Vl}XXED~BDd+=M=d?nF?(k)PMuFb7ocLR}}jj=asJULgbge&{~a z-}&O5ASDr|gghzc=hO=Fm%8F2S7GKb){KzeQAr0mX#3Dnru47Mq2^(mMTo{B#fFnp zXP7bWE-oBr;8_!nU3=bgt)$GkmVEw-dpcg}LuU)PS9+_hi4?GxVzO`=(}#?xNST}I z(M=jGML!3@Xp|;q^}ZD&$xBB_fgB!PYt7qWHqWFr=q&qvahY04rM#r8l0W~fJ*rM) z5*DGta=rFEnYNof=+@(tJK&$92v_NMS2Gy&FPNBjm6~9ipzdzZ8?&-!iSRD;5b=TE zdTYm8Nxt5}@*gep;^($kjMjf6A8TY_q(8H%8=xmFZ(Ga2ldCH?Q)c2m!)7N9!33rw z>72kvZ6?%jS0bhPq<y`k5wq5phQRzsqfa(cFPJ8|irDHX!|nHOq}QbS_u&WbN!t~> zVr~7B7dVNsdKc75l*XF+o4k90VR9(%r8-JbdW{DdA!;22okPwCbnQn8fQ3+zB093{ zTDES3xdN41Ld>0fQQ%bz1C$AWmAgWP(xt4|Dsg8*^;Ie&i#$EZYb!0S>WZ+eK#uO5 zDi`F#Yulc`>~&|W2J|O_$pCnyG)|Go$K92IA#b1<2oYYw7p^gu=Zl{Eb<JUBcIG)t z=npXdp?kLu)|U;vlWy5f{;F*o>?OFct+C1n$u#CghiX23HR)I<N=Dzeyz2sg+1nIi z{qM>r-FhT)cU@&1Bp22<=sK=b<%z;>VH3$z%RzL7mz;O+7xOnAh|S<lZdL&}Yy4{= z!!W}%So#4=i5+P@;74x>#KZ=|rYr57d8(OAruGXJoS>RdEn3W)q&{yiEV!#rKY7YQ zLsV9h2<6J$h*z<5TcV+)KPAvqIrgRRYD%-dy9QC4U=1tY9|UggPhIhTsm^9;=2QL` zz74rO#fs}%O?BeCt(gd{fv%5bkKZa{>v<X2wexvzi=KQvRq1#BY~gO|D_Lc`SE9ZS zf=>{*8d&!clD)O!Y^|<U*P3zp>u!qf>M}L7aa<(30+4Rb_qE>?V?+?uJ<*ZahL1(y zi|!vg@ED=mnH~8na74$d1h?!tm$$AdDGFS{xEWJt=3wr?2zBG6&s^1GSW9mxxaN`E ztQ$yrLaz$1xSv1b1;IX=uEh4}y%q7aHGTstPli1zA09Y$%88|Ft*CXmSRMX5oPVbH zwCZk;-c$cy%6meK^2bRt0CK%p*F&ZwtplsV7D614wXl+zMwe?TYY$K#%;QY<A1(5F z&U0RbJmdyr^3!kl)=}H2)hPqc0mZW7xUg+fOKVF>TtiR*IfEN5=~DyPUnlugc#2YQ zOjcGCF{W)|VnVOt@%vx#ZL=cjGP9lmRv#FkBky@k2>_||7(m~1@;{!U+>ZO*pLit_ z;_Lfw{LX+MJAfx?{G`k!5(U>dc^X=vc2jW84S~z2<^wk{SMsgLnildy=@r>fwkBvU z*g4$ZYR|D@Cck$*adXYH(&LY;9(n>otD(U&9((bj#ymbO{UHXQd8D&?lUG1LxDeJf zhjv)0`bO5PSbu<$O3k^z(VSoWKpXW?6c4Baq0bZRlc14Oi(57+?)cR&h$`=5{O}an z-{~M9{tDpYE;N)i!F#`P*6qu6d{9-okr;pl%zMph6JF?OH)DNEEj2Yz@lQvEN|bRm z?sje^*9wCrEP=Fw>fyeV$%D;!!i_70W^M1>fyElVMM2Ul?Q5kzhG|g~BYD>_wJr{m z`(rtHGMS7n2qQ`O%YDkc_3usj(YURxt*T@xh*?r(Yzby?yDRKs(Nk>EEEgwtQAJ@o z=Qx|OesF!g|9!$xtE*zrH$v%TIT7o7Zd#-IGIJQwO*-xvhK4VaesjYEU4vU5h|7La zd{Leh*p;C1%U+FMU@w287pq0Cw;%P+Q)&hiXXCx5oggSAUj~JV887o$j$qg&E<Zuu z6J4}yF4i7?{3RRv+{>!<6YEXbP+edbD1m?%r_>bHYhogVUDQh%HdAmUgWUyJre?tn z>gM<pKgg)-OIIrK&fJeM#lQ;o<<O13rUk%Vg{C{6iYn4kn%#2yVphDlc5*7<2Y?wu zDhG>Z9ktqW`emG`t@kb{x62h81_s;v2DB3wlw6Z_xS&ekbaL>z%$E$!b_4L6m*N8B zz^ZBwGL8D4W1l@DdWU6nPIpieY5pmlb3w=;&!k%i+6|GP7+TTVYmSBM4?kYiiAzJI zcfepQ^=?=Ju^d_6wUtI1ljX)nVK8lvop)_1l_*ed-TlMIXX`i4$0xfeUtfLNQtdVL zX2K`d40Ny583t|$*knU`ckMou?}dL<O?&HQ!$o<xUkw$xH+BOc3zt|0>xVuU+3^01 z0OZpMT@XV<U-ht6m8{UM<x_I(WnO`!l7>d1tFr^>y{)@F>S_&BM2$v61QAZ`=F|yt zDZRKi=Rl0Q>+D5!KdxE&<ku%0c3^bu?6C|i1o!RZ<M*_=m7u7is@ULOE=*(D{z}gb zYPiPgXT0P>w419N_Xl4NjN?n!;UVjS1~<&$*21=XMzwXuqy?;XG_jbZ|8IP8Y@<tu z$<UDn$GZY*%f2w?DLTkIVEhYmJyaFl&M{*Ufu(z>kVb&Of@f6-ZEp<Ly*d*l0Pqh* zi>&yFF3|&hUY^U#AOFk(xEHp!Ma>GK^6q~PMJorp{L}S5XVk}iCFfgZ;UWMW&f_lH zVki0yL*(Ji<sHb~!l>X@*@=qYCvL!CX{7n*xIyazwOq#9|CK3Wf%)S2vjt+++}a|i zt9^yi0_`@o*8>`r8v6iaaG(X4Ky}+MD}=2KTRNn@ep!qL8^hKo3V6N6E0Ga>iL*a; zeyAB|&n?g419N(>-245@C&Cr`myu(XyUY>fx%ZXk)k)nn&Wa0qsM=8GP7rs2cISD^ zPerlDwW!<r6>mFkI0hP;cy7hEyNnJC#+j8CctP_Bi{<)UxWK8+!=2xxu7XjIUZL~E zHYcX6Q?ODbt$(`;pv;)-pTEFziCbM=m%Jp?8X0SU+)r(tjN{tW(_4(A1{qx7$6A^y z*+&&F_T|7X2{U7G@l?{LrrS-7ta1~#j&s_(cY)Q{Oq~bvT5sqN$2~9^ZrxjmOKHwO z?Lqh9v3Y~T05+Fco>%eAZ1sN^U3k6g%jjq%fa%+fXQq}-tfwfH!H?_h{m=OOmRc0c z8JhI<7Jcg-|9w0>nKXXXIh|)bSVK)`)s@%%vzqfwx2k;)ruA}oqMarGqPT<Or6PMn zKY5$8$%I87BU)l|bc$yaOJa4=m)L=~KUwMIk@5jKE`b$|%yP1QmITZ6sM-8j!v(ok zOr%Ct^OpCK-bv1Tzje(VK0+epSlP_q_~zHtwVw7Hw?8~6XQ&kL29BV9%d5;QYM%G+ za)KlN9Uj`U3@A!qI<2CQOcj%-58^@3n-BY4&rUIu?af_Xn}fa)k0>y)=M*JM{F7?p zHrzWCAgI>0kU48H>|T?d!>0*uDP!dt+BT0hIGCfM&<Pv37LD&-Pa9_{@7REgHl*&G zR-u=y3#MpH<UDR0<Lgt)Ht?d{5-rHVx`rR{pqcHe!8L2~{x_2L#Vdmst^ULoLrn|5 zQ=X6=_9by?tsgG+?mGC+c<{~7Ns8F$k;7@fyYs^oWGj4*F{P}mMrE!Au*f`UU=6l$ z!rlr$HxZn+a!tjjGX_H<?L8&-75hsBc|M?-5E8jaUiWA_`k{9!$QO5-#0{Z#q2~nY zZKOv>=yef`q~Uoq*N-`7>%H*e(&Nlt=>_A>B>Mm!RZaOaUw$IbgskEQ(={)&W%*Db zNtXHoau8z}7aI0WB>kZ{)_CB63{BngaQq<MaWmZmqKdj)nS*f@D%2HC*a55N>J|pV zl2`m!Y*uAaENGmnDNis7D#<qyc4n)dk7udtdj_Q^t-s+_vT!Oqj{&%->kS>I6(pFk zG{?oZS3%;196H|f4V2^+rhht#*L6&Mz&Y-?X)3t+E<977QJmUDQyH~fIig@nFdCFE z%#xaJ?HM+OeBSO|^viTh>pUDW@rg*y3YM6;aHdsrqBno@IVprz@8stLdH5*+W|mZl zxv_1!Q!A{mSh^{grIQr~Et{g;sIG^hKk)buDJ&0D^O(FUrz;0*uq%6R1-Bg|>j};a zVFk1zK2Af2Qe$Uij#@6x0+D$ypmN;);>hh4_sg?N^>yTI=*;h^LUVu+-!jr0GD0=} znaa{;S&lQprs!Fsm!!BG(l6NDFio>3o{bZIWZ4%-4q<duFXfwiVyl$bbN^MI@FW?x z4A{IcIM>pe?f18)sQ*Om*%$YlrWaL71w*QGxA<C$F9&ekVX4hFvI)rf)b*6Q#4^DY z1KwmtT#QdiC;y3V^3c`84X^P5ZNXpd$j2r7Xhp0nx7M_Yv&vG)zs&eFny`VX^XG?C zF#e*Ph@9Ev`wtq&;afQ%Me7t`Zk&Ts)+c&#K`qBfq@uGQ4)Z@Ih@TpOa&p;U<`m@x zw3JSJ9cPltC$8$<+|d5PZurVz?)wS7t&`%as@HZsr}tlHU0QXUd(~4V0peMnjX5yB zf<xi{*SVV0`ALQ5%$=aL$v&!Dfk2SeROB|!3J&6<z_Sq?&P?y6L(y9EdNaF8T;cjp z1rETL|Cy7tn<5#k8ph>pZ~ds#6_;1OsdOUbHZ|?@QIaII##FNAg(dzVVyj!x#}ZNq z_b05(jK|DpV~f?9h60Ble|CQGE;I6OV8GSB@y^CqMs~-0)9j`7r?nc#LwmzSm3MGb zk;4)$|320jym)!~GeCAn7d0v<cGP>5WY-@b;-PjNp_v}uNV#xamC}m;=VZ}8C(0tk z9?qg{@-oHL(WoxtA*XJs-x+;$mSyB1qyIz7#n-;M^FiTS^*QtWrG4YD_2O-=N9K?0 zYh4iT4JCl`Cw7!Yx@^{Vt?ljY1)?$wa_eM6ZJ{U_ac*s$;>1?mQOT~eqWM-&+Czq- zcjH4v=r@)#S$26vu|rKm%yjR$Ko^5NtGZ{)p&ln7l9U=w@o$Vec}BI{EgrADSxHj# z%j*%GQDpZj7rr;G<nv@VT=Q+6WQ->)=(+>+oj8;M&?PJMC?!-=qd&>?DF7L<R(H13 zNPeQo`-lqR!pCi8pB>G>c)>*9BY}>m()C}3##AF!^h4yFX#${}ITS<p-di=gTu)6~ z{uJ<6>XF=Fb;|To)5`5YxReB`;;eos7HQf3lfsayaCmy4L+%9X1GKxy6J61prB545 zkzZ2k)aY1}(RTnumDqK9^QuBSK)3i&{RQ{4F*+<2ZI4~s?g^nk9*whVYB?0s*gcR6 z2IxAt_Xm%^x8m&Aep3EhUEq-asV-I)?2Ed`gm@FVO`>p|IA?{srIu0mj@EOlT0to; zV5k%pdRVl>i2cCX-{x?)F~@k^W)WEF2jL_Y1_Oxr723;Bx*g%C3+sjo_ZiFq?w+H` z19KfUHngHH<rN%vR5#O0nxxM0PCG8RycWl{J<d5i<a=X#@JV_v7~okEA6@>#A}Q@+ z@uGDE03(b?wve`{yLL4nCqHCO*|>44t!<Na>|R+hXl|?L_NAZ|?jwrTn|-{T#S`yA zja2&_3T_)Wmenob`3v#$PLPMzrN-B@nYvs(A6Wj>m_Gz;;Jyz%uYJ5+73Wv$Y$Tt^ z&5B899H@bnS`u-u1S+A~U$EL1m^%AC?}T)GCg7igzxnwE(^6ZyErukn`QZJv7G=}8 zjUQcUJ!8*Z?<r!kU3W9ZV7-}c$w>!vh_-9|d%oGqA>C;|-+zZWGp>;dA?z3ovl%;a zlt&rWJx2Ia82Fyj`13^QG;`9;L<FXDs7$ERBX~*{8(34JY<@F=anj{$(aTQ~9=n6K ziW9!AKAUZ}DvxVn-|O#aKLQ%1TkU^+2H|_dRs@AE&TR8*96bUnD@HeZIr7bx&F4=_ z%gD%ttLBrcl+5RMc-ID0#%T6@@|QU}QzP538B|}s`WQ@%b)Gm-1N;t<+PUP2Et+yL z?IUHM8Pf&T3<d$kIS27pF)u~7&k%-{W)=(#Wn|zGf&Bicq~Z4ET`RgtupgL&pR*bB zv;xB%huQV8V02}rM?k>H37oGMpUY&>hPK+-9tAkQr0h$y$q=$!08Sp<T;~dm09jn1 zoLi1gbRK#ZJ$fMHv`R3;{bRLVINx@eUII#(0vP_}Y4CodeJQs3l!Y-`+W)DPEElBZ zx{)4%{Z1}>(Ur>QL#pQ$-Bnm|3b4ZF9ieK9LT$a08*Ivl^BSE%U^w8dJ>NfxSHxVr zd<NRA9$AlmbpN{uL?9BvH$~kGEX5MY1bg?0Pfm9m2|EIC2k&5C{A_2pad3x`zM_|D znrIWHY0?eRy>{!UZ^(k~FD@f1te?z4p1`<7sQCl>AT?^Bc1N_-*-B>UjY?}COI`>P zbjXWAKvT_oIdEDj6~`sYR95~VN<YWO{|b8ZI?bo7r>gslBPUm&T_iq7Xms3(>kJ%! zN|!@)>S{LX&pbt;^Csg!ifq$0-2pyWS!)Xd=eo|`T#{mE|AInE5AKWT%DmK~)DrGy z%?Eh@XC}ZLzLttz;St)2n@iaB$i#D{AVafNRoP%cD@(r#491*O_XL)L*&RoYjI1Xl z2Y8M|1lZYv!2u>;fUN@cl?LSV$hZ>>1`{ckx3vrWhU4zW9o-HZxq*Jy_0sEO7q<`s z6f0n?RM4XPx|4o+C6<bpD_1EpR!(zYQ4%BUEC_Z$Qd0QxJ3|mSu2W^jO69Jn(pS|; z$3#h1&R&w2Pjl2SPOR<*nAtOUYplG9pY&|=6D(1LBT&CNF$)c$d@i_RK3<!&A#aPx z5*O1EMby9}>u)2U75s0^Rdzy_j*2cS(NKWc5a@Z(yACJ>NnMxwdWQP7?UU{)qEn)- z{oT@_BzP7JBJ^+Hc3D%oCcQ26u7m4V6_e!h^XBVm$o;w?<Mhl#!A6qeg0IgdVTHc= z3<c0MN)?$)Pai(qkdJk_BQi<GcjEVyB;1^ougBqMine3%vScn)!necer?;q^rG5%) zs5a<%bce8mA01G3mlHGKW?rk<%|3wWI)AHHCe2`ukY;NiEdEs{sM+KbBxxqdd}Q(X z-IUjU(zA76C0ASpf&EOkVo-MCs~jK!oN*~>0M*6X)%|Cd-zV4YVoqNiJIrP_kUM<i zk91T^_XUI0U7_e~(86)e;FLXnyyqL*ZI|%e>wfWOwvfDBVY-!tvyyZqSyA74nYw>( zQ)0iD9J0R3|I+AQ${#Obj7Qfq@~txciZTM4(nQ_R%ZUb54B&%7Yfe%r*Ry=^7>Rev z31LY@1M)_HIKxWt;iqB?W(?*<P@?%K?f^TgBE1pBL3b#G>3j6@aL@%9BdV=ihPjjh zYY$2Llpzz@+y6`mv?epSWslPda>7_{S>NnP-){<9iIgq0782%ZdA6}oQjTy}v;9qy zWl?gOuWlatC1=wvT_+Scq~MB_3?2gQ*=+#{>VV8=aS+8gG%ufvaBk|uJwfJtkG|S4 z+uU}k+*l+su39e0Fs*3|PrM`m_iNG3nxn@O;b@TK#l}ac+wS>aiOTEUhDY}LFWX`x zx`dT^mG2q*mHIS}PcZnJPP$-%GGZdN48Q`24&4I?w+8zdCxp{gTF**_;cBBItP{HL ze;3?|!UnqbnBiAfTNkFCjNP{22)|VS2GaeZz?x7LI^2}?y*Rx5$$9r4GxlcO1Sx8L zL@WpI{J>28bIQPUOk!u&IbS2^Z3_`#ni4<^`3z?_#{G+r@5NZow8UL>b})W)Xr+?> z2uV`hv5rVp_y#W?xB4mGi6>P?87hsRez>ZZnUYDw=UHur&{AnSWeHCVhAZ>TYxbYk zP#>Uzv$Il|>wadO&wB5hT4qU1Z7bMwG$IUBp>cSH$=GF9%RbP)O?*%TyIEp+oa7sT z)I=&}sYh)XJrebN++=L)US6BxfhBqY67r)W9o(co42&e1!XDneZg$?C(!_oD=b$b- z2fKjs#JE#ho3t!JDlxDS7A=Y&9RxKulQUx32@#YB)D9{E)Nw#fTyf~9GbWsJ@5+wk zbE^YI(74T7d2If-64dYHv64J&aTH$^*A!{b@x6CrL*IP?xTUG<Hb_l0ApWB33|QkW zInLzU@97dAs_m~WlWApXs>qZNC@v?UJ<{RO1U8wRZZF+6Zps3s)fIZvQ|}B0sHLB2 zO$E4prm6KRFFUCxiA5rY-P8J)?h1w)^SMC2Z$<P(9G}bBn4k4dv4gNo>LH5hW`^6| zDu&n&-ddmt4N46U@zIu-j#S$d?xl1LC3=(B$|BVa9ukH?n`%Je43)Uo7zcVi_<LRQ zTL#b#vSrAAvOC)Sg+JqVOWzAL^5lH+{K|}l7o|4GHHZUG>+j4FDq3MwTRFMcCH%ty zWV^4I9|P1qCK9DmUA?PixoHrJZL1aB(h&Klx$VsjYa*C7r0cFP?iB8Z%S%^Aaptd~ z^P!Z5?R*k!&cRd0^O*4@8TgI%!@))_p54uMyk=aWprFt2P-EZ9bB<q)rs=rjo)u;| z77yFlX2mZI^a6x|>ZyUDExL!Y&^*>8_tBL*06%?BGkmr`;((rXaXklrZEPZAhFcq{ z{9<G_xXYv|)>ufq+L@)}kLn)3W51@b`m$RugaGRa3fj4&(uw3zHv;033T1jMNconw zhqB1*3JkxYE+7zlv+6@?OOUmu!G&{E-C^8ha`ux;<(MFcxW{Q_V?h?qbaL#)b9_Uf zm*gUuKvwuMoQZlznXW5!$-z6w*G1WA<30pRNb-h0^+t}2USwqNz-V?PcT9WP$6NOD z?>fa%^X~3g_9a=>%+|TklkW|>%?>2poXCJawHtcX)!tb3TmJf-EF3G()r^}lxM0UH z^(GV*_H;trOpKikWT9y4dPaY>i^p!M-ITwAd{dW^tZYd9fP3D{4B60S#{X3cZ`*^f zh=!r~K8+;mY4&GW`yvvQW(0BLPQLQI#&?#Mu?M0ENf*IWQxpj?RU{jyWoS|i-nG^( zG+lspPw@yLS{5)VJbnOiK8?wp`(Zvikn}A)=;O=s0#hWl`_{kA7_+}Lz+Of9ppX8x zhfYMSCQ8#CHM3jdvjYfH-b$TS(00{)@mR7W)Ja@YdJ^b4lfE)X)#L%dSkcdEzJ^zD z4j}O;ckVQk5Ss01XAq?C%XN*PJVX#xK8I_QlEZW33<W(ho)VpCnOQ;SVuRG1nb~S^ zuB4gIf!B;tzs)9Hj=%W$X%y7Bi40SK!aifbo1GY#o%IE`ypf4W_pAwepu!j*GR zMN~mgk`f;PpgE#gQ|hS0L5vzE4r5wg;cYM;)%EXzDl=7pP4B`|z=J+#ExK54rka42 zdXa+<qyElcBcd&>wM=3atuLku1m-zEAJJD?Kh^)Fz~35}X`3a-da*Uk`23OaGMRUR z4x*<e_I2|<RCP@@$#@~@<r`hm?)HiyOtAOD>25OFmo0&S-1^EvV7-ce{>Zw<H;XP# zJPifFKlqZ2nokR>v0ly-zv}JHA59EXrQR?{h+R+zMuz2sMjz<I(dKdN8O@xSc%iv2 z=NgaQKc85Se8Zr3DWGr>84DzPe!rtU8fND<hC)(%;wIaR6YQK^-Du;#A1RW`d~JV6 z?NW*B87x+3P0p^gF$;JckrbXfktV6F*4_<?2C9_3spi9hz3X4Ll8RJ<g))<AN%=l@ zTh=pE6mu-S<OA6G<D=aW*vlnjwTo;K>8B(!mEL|VO!sxdYTSv6EFOn@KfDpi4H24f z>mcvl|4zA4{^qr34b|1#x;AxUaDDEFIFi@KE$$t^j`{XHCFV`c%@KJ|c;W-z{$-d$ zPHFe@i*-0&)>jM9$<GTI`yNP-SBbUb)bpV1Yu$an>psecItaE=*d;AF!stC6c)iq6 zJX{X$u`pBSE1I2(r?$^n93}M=HaReW6_S!?iEm8y6O|EZYV@k#T#1ZIr19|ba?{rE z+$d6xP&5Z-VKZ<uQ0YWCZ_|mY(D_BMWluZl$ka7n>1_tmerF;(X98K9HO!Ubvo}W8 ze`Wg5FVd3h&{hq1+_-LLnOds7G`%CX)1<O8^?ZB~bbO>x;-H6r=7TDF0)b0yC?pMO zBNRd^7CZ2icRN~!5QQBLbLVi!fe)Bw((F`o&O{D)xzxC`^4Uzv<r-F2j041ygS+S_ zM>1vGJvU6WD`6Y{yKYVA{3KyhZ8~O$b=G8Ov#EHTb?x>wyK>SmB@UJHo|&#eQC?HR z_=MXQioQ`}_H=i;mGn?35~A2E`K~H(YNXJ_VtJI+W+fy$i_%n{nD#a^T{o%?%-C%% zH`GSPE<d!ps?Y-$wvWuP>rOfj|8x?_W~q`~TA2K@n&*x)wx>sQInXZy1=>8V1w6i| ztYe4DntRNmYOJa{yP2x(MP@*8V6Rm^tnf~fz4@Hk-9j-~@AP^HHcY@_uBV<zUC0N^ zd+7Xu_)(aTvDrAA7RUBMnIn58=7}7*Mz#Z5;kMJR6RO^>8`XTgEhbVhb6zJsjR*g0 zB2@#EF1@b1dWS{EXMJEE0XmgxF0M8M2}eldWs^^A8@^k2OO1v!0Uo({(bp$?rq8w- z4u{Nz@$Sob2{&{1C)#Vu=hYAJPP*L9Drh2RDa^rTA+w&57RO|jmUWfNmvXw+B<H%w zd%lf8{v&<AcKdFXKG|lt%YS&<*fi>#35ZcQ5BTLfJ}><17sVd87EznREGLQTDF2gL z;*t6;9aq<?-JFVerzlJ5-69YFSkijQVS7V!aJ<xa>#8xI5XNn~co5xtmTQ<Gr#$Z2 z#aMDq6Laolk<hzX4<uocxMDtfR?MQW)V)@BFC&MlEj_(>qE-g+LN&jpevjUmk#r#R zgiwVBJ}4zu>5i3O4ftd2SL`^;btwQQH}^x1VZ{~O;IK1czr3u{L?u-BDw%kk%&KIk zCJ5?s8Yfw}P2f7$-^0MY2@D!~|8qU-DG0qK+y`pnkNrTb<CcEOLX86>4yk&{Dd#4f z!_-O=Xiq9705utq9JT;~19u$PFR2>F+ORdt{RJQkkcB@Ef`^ea+QX7BtM<$h-eJxJ zD6Z$IO?3Deb(uu8cHTHXyal6E%OtvZU@pvG^O`uO_@H-VhoPQJ|1nlyd30TEoqw%~ zh<+$)vFxUip63u!YJ7??d}qyqt^@|fjKV}4uq5~BU}_vO6vzPJ_#+0thmfIR(#FrK z^kWtq2J&CE-5c>-zG2tZ5b9y)aLwNQp8yu4+UxuPBrYB>V2waqCXuJt_%!e1RgA8k z@poC6S`&4pf9#SBi`+ZVrGcb346wDX(AzHie>goX+n~K9&=%oG+`XL^)G)fdXrf;n zdmO^$0OT{YZSD#2h1CiZUBmD1Fr}JI#35x*h3d>8kMzFTuWY}T1@oelBtc`XzCn=( z(p&7mClHA)lT0iBpl)|=D!I^eAn2|OI3V-hi{%RGLOl`3pyUd?!?T%wgf7uE^#!Gd zcrrtL{)3OojbB#HdJETh^IqMhH(I`6|MrD^>p@!@hFZM2=8Z7%aHxx_24#U|mg2>D zv4;Y>ZZe+-7>9@K15{ZWMr%}&j9J1)ZkZ~4z1PjqXQqsu+g%+bgokiGzAzb5#05^X ze6sEPkVY#gi&8LHuxUC>x|`<HU&~<lSr_G(7kF{^hb(p4y}B&R_!T}=1g536bLkPA z=IqMSlC6n9I9JxCYiIDikEBAiZ(KL3!uVY(GAj1-p3Xh~4K(fkijCyF8~0!GSNGu4 zlIx3wSK87tm*UDVucgQ;pN3W!DsZ;%+r2iquJ}eTE&~sALY<gRIB3&&%nf}DaPYfc zp8>t*%E29WI4*7yaa1J?mW|k0GTI+W6o-or3DOU4f%Np-D8*+D!J>CDxpuAXan%h2 zD|xobk&#qUkzNnO)T~N#k6n;QNMa+wt+D2CNU`{Gk1mmz?|0ctu`igZ-WNeA7Y>Uv z%Pr!Cmn<I*8|P>RebFutDHOh?dnZ;BO8FY_(|E6dwZ&{Qg^{GKk!385EfFxF&CJZS z9<%&{`mDV_0&wR2+q>nG05X3|g0faUG_-WLd1W$e8O{$mk^<e*8OeNZN?>q!+<J|= zHahr_-^J*0T0|sk8`Mg;(q7tPIJx+l4_5B(dcu=G776f)b!bgBbC>s1L2eUf+jN{m z_bq4FaR1>UfT0chO(Y|;+sd*&e_xtuhrVO;3X&X^Ds`M*L`uxXDU~yI;x~bY>X*BP zZ6UL>eQK8Ow@ZfHP;)J?TGe#k-m0XA<M+id1CxEVET6rpTumPP;KA_T#<qf|lW4cY zHsLA?7a-BRr4i!u`}?6&WhfMCN=7<sEf$U#X^7IKk!frCg0xjQ3UYd=*R*{4W+e)3 z{QXErS#jeyf!o+E6{;&+CDSGoij`mHP<kR$<7mqCdJ*75iA$|?xd}KB^WI&iD{v*% zU|ZKQF9;JepM?y-BNK`{59ei4Jee`+&q9rTt686IiebFV@7?dAD`e=_S*OnQZDa65 zAa*lGM)RNR+${T`vMhvUwvVuGiZ&I5c?dW>KLSn^c+HKCZ9YKlX79Judxc)kNR!5w zO18Q#j>$oAS}x#0<>}d;X_vLUSwmpHr3IU`y?j=C@7=eo!Fv^x(WaaWzwF$Rl}ogl z7zj~B>%8bGV`)r$M&MK3mwVM%$GOF)J{GhmPhDD5(leK~jefZyvgQS6YZn+n4j+PC zo&s_RARQlz4M#vf6Dki+({}X{fM0Cvb3<G9!fs;kIPwuUN@T!YU8iTpuNR_JY>YEC z=bW+0)oaP<qRP+_%~y?OdQ$3@hri&J3N_1smg9S%zvU!1BBXk@ZUXBYpy@|HxuBJv z*1M*Ofm|-a4Q*`|Pb_Q?S^Skf{Mb7mgSS`);^DN0kv`7Gu*+vQcei($TYI|qI})9o zy6cP6HrqP7oo}k1M=oYe(Be-AH9LcbT0ZE}4gF}6%VN(~n|5BHaig$Wdk4KUcQy7m zer3Hc&4tHeWtO+`t!V@4>x&+!vYM3+F}S-_Vj*_$@nYCLg*Oq4q3+JVPjk`K_n!MI zZ#Tai5j7p-%46;;DsCH)pq;ah50#~J(I2nUxAl^<tsqvLrfRk@RSLB@*T$vV%KXE$ z{30mLZhsyOaZgFWyxR#yS<>|^GN4*oep1T5tYJg7|Ke^_;0}AQBHz?^e}NGQHlz^| zD7^aBTJGB{GM`iHKF{1*|F0Hc$-4HP9de7;+&KR*CQ0*c094wUNRjeFwr2GH`2GbH z58`uAcf++?PYRa*bVDGrOP@<t;1FohsP)DD5J#!RGgF#achfP&KK;?<Ki+k(GA*F2 zuRC_le6Gj|(LV1my$3PCO%BLZzV38Ne45b-D^J51ZRn3}OcYF=GO^~!5`QK2!YfB; z5p*L3eX{-Pqt=%^(>FE=dsGzTixKdK?2o<`=2||8CWff-%DY^|%^TSq{&4;APVA5= zBK!Rroi`hy=b14CdrdzliLH~GD@K|1afv}tuhVbiB$H$EGJB&cU}~4ou-K;@wQ>po zrwQ#nkM=PY-M==}mtiD25WrGysaBhPlhKh_lb`%5ItAb0!=j=Ez~lQkIvr&(lwKwg zVFqUkARPgfP9qWK+t~0Q6jE(V*aD|;=1SpAGxq6rgUw;uZn1*8F6f$i&eQUqGGqIG z$;o*SnOly1yS?pbuIhoby<|8RZK~O0V58EOvd3IhG31=lS)XgGk|=p??%5=7QJMlA zA{cBKCksoEhYP^tu2x;2_E}k8UOuYIqcpPUni^okiP;Vy&ha^zL?VJDoCBv)O%e&4 zf$|X<k0011sxiEv@SK<pjjpF1e@3HcQg!+%-q@u50Yt;6t(P6F+k7-^2h*4OvZlIU zPh4HO&%j;#9afy^#r>Zsd9S!IAYuKZFszC!wg4xvj`N@R7d$9U=?Ze_BUjaw-eZ7n zrO`}R@?EZZw{SiCazhz>t|D*AoN0S%ioyVtl}eMclknQl$;j&oPs}uKs3fn0+GAaq zCuc);L&A2W9)yi=DA2m%Z7A4u!o!V0uhL-Z#H`QC2t=cF6CC9<k^PgdPikCJSga%K zPf>)0t<SsXs$8g2@rBcT3g>ByfI3(M4X0<DkhR`nhA_o)XR(Y>3t#v1nBFUof7<`> zV8@($LAf6lDF|B)d1^VC(&4%GvN+H2waFxNuYDmn+z=YLUl>KzJ+ETT7chhGjKha* zLeOc%=lJZ;y#EfwpRs0QRexW86*}W{#48pbGHI+mg7~I3nE6P~pljMsZ;H~9DqSlQ zScUZ$f7jc7RM&rKmk3OzjS-|?9>{`P<1}AqkwO>xs{NT!4ov+dX>3+(PfGmBoly&t zy2eH>m*%z2MJP~u-AT_*(^YzpYM!SsfD_ZAObojIE=|uJdDm(&^5x6D`E^D1@>Qp} zzJ*UwfJ(AfadYn}JW3-He?9+U-}-di!qVrSJrBzwdbNZ7dY}MJ)O%{zgn=VbrZLFu z_;k}?1?R`u;Cea}a#fmZWTUO8DFcXw=bSbvc?UFz3F4ixT8f8YqFlV9;)bV7E??z@ zXj!o_SF_nsXw7%N^x=Al=I@lfE8Cf-b*@G!uj&7J;MthC2yl-V9+T(w)m9h&dE&fv z{HBs?N$P_(;1d4Xi3x!2e!a=w>An@7)ivZMHZL{!xYVaQfY0j81Jpu3*0R2j)Y9%D zGrTrU^k_L4r?Wn}a!x0BCUxQ%8)uw)m(+epUL!>aP;d9>|A64@qbNlsD!KU{d$U8B z`g&;?&X!>~TSx+;bB<g_Xrv!{Fg<737Ze8z*{g7OONXt#gFFfB0C|A0<C};|;eoy0 z1Q-ir9N%&|77n@CmDnk!>1--j+|e06H=(17j9t8(rJh=Tn_f9~(&c`27FB9DNe0XK z?DreKt<sNg-Z`(b$-*D~!6(GoQv&+hB0eWJwJNH`^&A=8wAhKu{W6u*UekQd7x>ra zbGh2r*xt1|hvek%J~b?I)xh9*l=qP%miPEIFrsU(V(s8IA`Yt--cXaXgmBHL-%(y! zLHKY=yL-r%Za=*MQ`!umPvrzKDy!UW`+L{DoYNS}pNx^Q4yLr)_Jo~Rp90C_Ve!NW zr7=#7_#M1@E@6kaKk&<5oI59kOMtmwD7xQRbBjz)*P}OLwY%mi5!QDe8t-Crmi2oT z7V@M3^k_^@MpG^Fj?@Z!?Ed9YY_OW+f0>iig)8+C7Ok%H_nA7&i|Y7fG4&9MNXRM8 zroI;9nj&aZe15of>a=$enCI7<^aJ`S-P2wCT-NVQ%8O$iNB?|6pwYy=0=A;n%0Xw8 zDc}O`{MIc_^^Ws5Zp6zx8IFsClUL3mvL^Hjz8^<X1$=|Ix_Z|dI=C^GF6Odu=Ax(5 z1eW-C>N;=7<^5JKXzx2W<9l3u9jq>cnRmOou|}|=;4a1s@qe4uZmoQ>1N9CQ#X+b{ zyez10HS&2q0TEpPaMg)6R2M-T5Tz|>y6?7ib^Ii3E^f*jJ^|}{$b^1$O(R|5QM*!n zyYq``H^JogDXjh$VBeP>`4~4^@5w9IKF=}Vj6`(e<D$JdFp5RjKt_ri(W5u5eGT8d zmZ)4CG&bIsoj^(%BzT0q76#|oOlm)*yfi7>!j-(htasOSV_nYp;)_d#MHkj(Ducfd z6~;?s2K8oco0-K&1{=`6E0-6KPZmzTDbWP~#)M<}L%Yc%bVG4+SOA#fS5uo6BcQl} zww^ETtJAxy`_zR=iCI+O-~WfNw+xH&`QpYg00k+LZV(Yk32Bg4kPxIxLO@cwK|s1h zQc^&23F&54TBN&$rI%V7mSz95e1FfY|8qUO-~|_O&(6#}=X~OvX*+Xnq~;X=GCwx9 z(~<3Jp+)+}?1|3dO^Uf}J<qHZC#YVd;zHxmXLc=OhLR7S((-bTu8dQo9#_+MaIP<8 ziY;a;Sm6#xi-%`#o{mif>}F*5e%(_;Zvn#upnx#%IF<#UA~8=T)7GQOs5qNATP6O; zT>n-n(>{cX%9N_2sLDJol?nO$U%#(vR_-AjyK_jFpx)6>s_dL^o}JY_y$E{UFulf1 z!=77$t%7R(P|Fb;E#9W7B6(_4y8v`BNciP;`p$p>9qW_$7OQiKm`NzBLE!CW<zz2I zD(bjp3$Hz;!B|F8wilEhJQx)VgJFNVP8*DN<b8e|xhr<M2S+kjjnCdE>2?xFtXSTr zmXB|Q2m13m^lhqmsXB{U)*4N_(RPC#L%*H75U^c7ugYo2e}a4Z&fIKiM9=hdRW%>r zFSInBJO<!#@r5fW`Wj#!%?C6C%0XvVmLINcD*$*q{*^++zverK_Rx)zs_K5@?TrKV zkSEsZtL<N7DhPAKGqO$=pgxQAr;I(W&Z-ip3s<maVDOZ|arT~RuGfNR5!5eUD=ddC zCoT7Rc=J!-D7iRfzqQN1dx;vGi;9@-cx~T%8~N3{!$6uC)I76JP>EPOZGCR16=HoC z1G5^RnR_N}4+M7jer1fJ1fnfo(<KEaY#G$wVXh(pGoEh<%;#_YENA|;6Zy9z;i!@2 zcn*@L2GpZ)-$Rv$JQB?<OgrF|j0hJA=d-~H=~Wz}_UZwv5$Ll2i$MytB^7%3Yu7=L zXh3XDZ`%tnkVv1&R#>xI+q5|9!c@vQpy)TCx^G#%od_s4wxp#d`WyHf1m(8`*Cn8| z-_3u;JN5cfDaEGqCC{<4EEY!PS_69K)+pc>n#;*w>%%Qw8sz5SlmJmR@|%h2aX)+L zd_L|@!9Mu&r1PtAGkd>!$iYbU;n0(Oo7yaXPvRGnDNzdaRTFD<1j__2SsjBAg{ijk z(JURJU^&#Ya9pL37O+thNx?~pmHJTmB#rPk#d~4nxq{`Yjz3-mF@tBWRGo@X(|;b7 zWHy0Hmc7Y-=h3Z>(f}_n5ogT^id0xV9$r;R{I)#hi3L%4+`sWooWjxg&?d<sQqWn4 z0aJXN7BN1uKK^51?bwSokj<F50?OXIgIO<1tN)6iZTdLMjN3Sq)>FmSYx81px<Ts7 zj`BBx)39{IxD*wr&u<2EoOGYHLPVD>FD5$0#uEd-9*;$H3n_0Gp?TjRRyF&*Yqy)5 z{XoW*V)fVSi)6*Cmz#j^kvb5+{fF^|o|`;)+_l>Xzh^3~pr%AjRFXb@=HBEp$Ww{7 zLL7v`CHAA`lDn;-g+#ifjTleFRO<+Piy`*(THQ`-mgj4w;!%h(8t}_bi*~%g<%aH( zSIOAz>I$7-`*2@}gv!OZx1atLaRGC=pW15_$VYj;Kz3WYRx$^?co(J^&snObn_@?| zmaA(^xNz)YNgV^xD;3&`L~pIxLCOxAHSDfYNO6Q#UgdZ9r-;wq65eA|j0SQY_^;wT zN$$$NQ|tz{Z5?ydJVmdJ*qnCl?d(!_3VpiS1~_Ed^Rv$oj0@!q@~^!`etdJvo1viE z8Q8#hr1UX-<G(tH11+?9{IWfhmp(N#r|EPfX%i(eTCk0OB+f(95!bhxLf+k{rJAW* zRb$07)~Z+mXl+9}ZkOBrJ6~gAv`&`|JB5QBz~%`|_1VS&%5@6dxY~WqRa-J(Rzoq? zV5}cOmV#9u+Q2(X9uG=a^JXC3D2eJH@C&Z#<n(@7K0vAF<&3}-*aY0IG3SAh`}`-P znjW~yY5voMOv}aJ4ZoA6$ja<bm<uZU4DL(%7RwBB?lraD3k8*`VaUu^1_REK{s+Aa zw-(RW9aU9qse!ovrriAq)pMxS@^cjId!;Y^HrK>?pvG2&|A<3<w>a02H=Fp8(cvHw zdWR`1dY)vl$t<Hhcg`sin__osrJp3=YL36&<XDwv@1D6j$z81}Vpe8-<Rf~)z~WX@ zvr!>$08-zi<^+dFz^uH<q=`G!>hA`o7?sU(wJbIF@9y+b=}hrK_{x1cOz7lDNO;r% zi5{xKe30xe_Z{&;7uW&yp8WNUT=1yF8Tf{vXx|ZQU2=&Lt57&;5bDDncw3MHy^LZg zD(b-fiXzL}ztL?4H0j48nBH9KRC;UU0{B!|!r81skgstQ7Yd;q%sL2bab5zT_e^pM zDHpVfj^aMLQ%)oHU~DO8Sbdr_Ky$n&Kt!^S7S1EXt_2@|I4pLx<|LrV``R=)MomI~ zb_YO0O9NnH1>$(0mteU+PG{bTf<J>bYZ5>Q#~3%)bHP_H78I{u#PVhzbR$&WE4)t3 zV&k9hoBh<N@_vSu3ip_29h*GGkEd#N_2EIeJ6$)`w7G;oZB!KO^yH*2x2(*%&tXxZ zz1P{e6Yf!KTPI5#$Cv6Tql27^uLt>#^L2gXleaS5#CtCitRs}G)6O}edi|vr$Nnwr z4TiPE5vK?AY<-Y~dpx`qntgVUDvr?Shraihd?89d+6PCwIs9l<Xc=BwO!mU>0F3uP zh&Mfry?eS_xK6p>k)Z2AD@ODS)Y32mbq3k{<Deo5%ZWuwfRv%ZH~QxP8kVWMv$zC0 zeHC-^<yNBUUTkggAu$^Bu1y>J?$Ij#rRV70OCF)PNXLg2yh4gp%4tpUhLI7Dyr<h! zw9+J09-wxIB?3=0=wwI6wzXa;qhOqq>b#8v%AtJd@Miv#+Goy$lv4HFgNQ@jhv31d z<gdX=+faM85ys%J>qhVsJ-9&kNg+1>JQp3l9K_fEU=y#(la@5Si2T;Hh*kklppW@V zzxe^Se@j$~{xPK6Xl$ALzS*;oO?gxA!`qFi@=}!6-iV=*xjsRE!M|O<)A??wywVu` zFsz&Y)9M>(eS)`KL8@YU{n_vCs_*Kw?BDOOU!q?>sFQJWl~JtYzY;~zVPS>OE-p%m zh~)e=WMsi(f6Ce$eyJ_|)K|oHd7wm1h7-jpp4Pi=Q}DNsc2z!TZ|kCX5z^?V`{DLE z{<w@D%Z9KAK6;>!dz`Q{=<GQCfr-*f$jlXUKjrGmYK{wQ5!5#64>wPqi>>MI0Or6C z$uR~e;d66F3f-!v@mQ0Un=d5mwQFH|OX^i40@WQ|aFL*rv1Xe1Cadk&mkRpk(;>so zJ5UR%ly~J}AH2Xh^PCJ5DuK20O}%iNA)KU}$5h{mK<xns#2nj9@nMoJrz5e{X^uj; z@km1p*lXXIivTAC^1uALT9|TWIryeCX#DymOR8;&*j{w)<B23oQ-R2_fyiC;Fi7qP zhOHq?)~M^4di`g-xR%|TMka4%9Rm|>f06t(aCxLBNI#*lz?k*f1T&76Krf6H18cR& z`@pl&wqAjYuU=H}GeHnzW9975BcXzmC$&=TIiqlbHFxLU*2o>+dY!0>c~SUKr@{wq z;_TMMeEZp!V3=^p+@)bH%I?PZC)%E1U9hk)z8Nem>*#34ibqK|CUjM78hw%T^+ILo zErT;H^S<ZRDbvUY{(-c8C4GZVw9H%X&D@Q05Az?$5D!QA{U(yv!86)FdEQm5x--7C zw8WEP@*$w0Ii4$@vtDP~Mlh&YTHNuK#NFXAyIFTy#XEr}l9;DrrCrbOSXx@ZpWI5F zgPeCByYa=cv;m_{Pw%x;NXnZzXr{G<bk?PhwA`)zz1Ru!%wk2&c=;(<U4AAGLRRnX zrdza8wJiB16aVY-uHD<sZnP?qlCw}6CF73R^b1-&+A44xEjTF>(=b?zg}MLmn{S$U zFFtwaz%iQf&b{^zwCj8=4|DrxRob*Z_`6ENH>LbfVODBV`0Ycy;5VA)%dfoJ$3S$$ z!t(s94(e~PzU}haDE{q-@|x5dx;-u%K#0zF&Q)Rw)k&ON*Zt-hs@uuSV@a8t9Dqk( zZ8syWmbW)HtPvcVg^)Q2zf;}bZsCd5h4UYHQtWGw4i;gE)bP&iFL!~4Y-N|*CQ$bv z#ydg(W*Q_(t*n;q=ICfb5hqrT-SdbLCsWF<2C-OF_w3@;_70g5Y6!LTDg%5EoE_d{ z!VK_dUI?JVu)f`h9N&>AHVM)1M3C#zd-c+J`hXoo<`WnTyBtF0Fr4sTw40=lT;?Ee zQN$Fd!GycJoCMBPPuLtQEHkqW8A8=D!zyJsQH#+;Sswcwtn`NU?QhLA#KuN7>ARM5 zjH7K~qTfG=+`_{=cF4L26O)JTRYnT9Fvt*_WQsn3AVLQD3$W)Yj7({h^hXYQNFVZ1 ze`VdnU%APnGcaBxR`q@LCC@a&ZlOFmZd>rLx4Tu@_!Im~CxdhRYTn5;Z-!`h)Jjw1 zR4d54yS1|QUz~)=ch}2i8iw^qx@D^FJC+3?FBAF~P5c(&5|k85Vqg}xnlvO9?+88W zvUsSl@_C?G_G?;!?zs{cRlot>o}L|gsyZ?=IXa2*fIe3jjd@uKuQ#6nPQgzd>CT`= zXBSjW)7$#p)PnELE1d$XVQls7IS+HMx(1|PsUnvKHdlhed*)$NN9QMvVu*`9kGTj% zxs;SZQ_3ASgZ7*^h?oJ6{^Ofsnfn1!mus%h(mDbUrx&M0+K%qE|MPDmtzf%7-(Rve zd#fUI(x66bBXOhcHuLg`fXp4T?(`AY3h^dp=E$z0l1~HlJMZaRr;=pjsV}xd@krur z@tN6IlUDB!S4jFD6G>%`7$tcM?#it+>7RO5DefPtJwqR*&@eAsqAyq4_ien58WT3p znVO*Ge_Ktr>~CqNk(z&jugYeU>4sXYDkoXEG9J6jETGmUIVk#NAHaM6IA&wEkKy$? zV#SSJwb2l8m@f`!&1an2nNpqz6_8wRuH-^1M-OpF(_jU@LNi)%_v1||!Isn?^36}< z`7wI;Uc0*DiZNYH8Sg#&s>K+0pR2dRiG%1VL<mD!%s|4>`U%rCL1t`cK|MZ`_<{eG zRGVu>OP16>bg1n4I5JcBDMO~QJW_j`Pkr5Vj7|b=9%g7@zj&~1;&-uiD&?1rIFeTY zkNJH<hKneLRvx0dO^=$)hT(st;D|d4NCw;UR~b1P{nS0R_g->R7&{YhH|@@ai<5s? zpONx0=f;jTC}k6^AORm#8^hFKVTmhL=l;FuM&R1R&o0`MPn(Ci2Q%wA55$*+CF=rg z#8|r!Q2YRjS!dD4GamcdW_W>09iEpK*TIH&iA%GSv)QRPv(5>f?IJTAeK8tPyLq)a z2hp{6MHzFwpwo$AgrBwtT<m3U)~I{l&YO(8-(2o}b)?!=3b)Z#@zTAOmi?<lG`xPV zqt0S4qf)IB)fZEz87BxQo(>y8e!1SC>Uo%koOo$kM6GoR2Lhv!hHeWfCYKkk&cCYX z3EKbt96Rkiap$FW<5Kpfzbh>fz$-w<ybL;GWF2Xwk9g&a@~9sx+E@0{$9#JCaxfuT zzKzFQfbxsEqh4`s52P#qy?b|9R$?-%pGj)Lk)LULozJRsWg6?Ll{bBc1k;P-u<qA) zm<QL)1g9fozL`?i*VmU#puW?#shUlakvIWAm3+BM9nDSvr8uRBE{$m4XSq{7d-4qN zi_)vXCo__^^2r)k8Sk?5SGWi=%>*xaz=y)J!NyGf^NfM!>9NMZX~$~ih$k9Ia$B#j zWabI4|9M;75R8Lunj)x16Z2lDm}@e<==@Xpg{8P89EPM3yLv%9988%~Z$&OLy_n6< zCgBs7kdUxZUzxAhh|@&;`;fi^VX<&(CF0~;^Kig#=d790*d7|4F*rLrt5>#4_lqdq z{NhEKwsP(HIa_+kL)Gmj4J&qUBY7kPorh*x;~@4}>e}`J>(O_)Mo~it;)=t^9y~0u zj&pZGbo@w(0XSGO@rbAH$5$EPv(l;^_u=EoJ&6g2<!20t22iHsvA+xO@h2Yq69Xff znE)@1V)M~k?b;enXEq9nCZgsL;v?RexRA{V|6Qv+;`a5x6w4Tg^;{0rmES(ytO=U9 zv*UuFuEM{_p=keP<2>WI7bn^FcJ_j659R&Wkn!b{yjmFhPE+K`Xyk3gu)p(jC~H(j zH~tS97qkMWBwSpF>FQ>fK^)Bz7^v-a`w?+&!Sm;SH$)w)-6W@su~Ad{R>o4BZfzX} zmc;?IXf5=J7%Irhi*!D$(BzmWu0jH}%=E4kVdyf)8=bQ`WdSihM*dnfX>M+w-!4pv zwM~m^rok%FNoG}3Bk23W-PnuD6I|u6YF?Q^`FncX$VH8Rh+uM)Tz{r%ce@d_5_z){ zu2i`V**JeQGbWioy5jy(Vd(BMhfU-DKu9(yalnFv)PkBFEXyh@17|gXMMt)%aZZ2h zeqL_}C3q8e_woT#7AghKEyJ#oblikjqespyeF#P!Rr+lcxx9`>C~6@MptbVJ&Z`H@ z!)Y*73>}$EdckE_FG6}Yk}SVi^q{WyB!q!0w7pd$oBqt`tof=db|%}(9c{WFrsN|p zS9!R9h(3c^k7=Qf{1Cp#4Eij!Ov}UAf9u(H&dAD}!o^^_ODsypWvbPYIJ}B}X*109 z@uE*Ru&x7ori`?@HQ@w&DMcdJyTdKz6&~M$-6Vgk&Tu147=-^<8I3CkzyVT3nRyg+ zX02J0$<g#@HnE_^>C*YW7vZaOv`#X_Y-oLU9g5!ciB08*gqibv5Z`qdm9BXvF<AE~ zH)m6PQPQJ#=eVPp!aQmh=Bb&c@#ojuZ;P#lIKhFU6E%1K&QrPc(xnXyx!!9RZaX<M zET-Owg}s@CSO=WJcitjRt}eKE!vD;M6}W)YY`m}nl-?hohc+TFPwK-0>fZ%i^%gu) z0cUDXNgzMGiSIOY&p6r~wSE&pYW{oHz6v7g_QRqV%IJ`mbX4|$O4>IfhKC<Cy!imu zPaNkuZtyDR?~Ox*FP7igCkWvG*xqX{VE1Xo&3|GzsWsd<Q)J_!CL}s4v5teNEXWo7 z<#%wLJ`(Ucv09fPNmM#3?4X|}9V$u0T`$Sv-&$AWyQIeP<8)wmpuItHnJ(u1HS-q@ zNdkk`l;5ZPadL7q6#{i}FyY`|j;Hf}P58AQ#gH!f@$l0S$CrHxS@IL<zi7IhoU@|m zRJTcYljJA%%F*$*6^b7Jgs-5tar1V=PfcVhUd+?qLHZgpr*$FZ9`-WBO*#w&gXE3` ztdET&mp)u|xb%};o<c9qqN5k0Hivv4Wjnk+aZA#G`HRibyD565*cth=vps&~rKxGv z{{=@+mAHc4XXmtWP+u!V6wFHx{vn`1u`HD`5%s@cT0#6g%CdPBNo7?BLx_hNvz+W5 zt}b3>I5nj>c{djSdP{8kWG2>96MKy9`O2j2qvWCJOk9&Q+Ak%YFCdTm+Hrz!C{7I< zcV6Uqj}sy3y_8e^>ETIfWehGub*eF%k~+#8Nh3ta!mjtu&7zJrx09xf%JtS_|Gnk4 z4zq7cpHhu?`s!oUzlF}4YyW=c_T|*k1Xf_qv%`&azWTu8i%I_RFdO*Cm4=iOv`2B9 zhC(z^UMhB7K)rv!WwUJx8`i_4za7*UHJCP5k#-Ss7!~dAn)amhky8uLrG<E!I8n>G z`wzMpZ4S_`kLpr`j-ytp#czy`?h|fbuf0~qtM>&H#_MLBGI!!yOmLuv`e`k6c5zL4 zV~3;?j^mw(u&}V5AM=E>bCPjUYi?va0c;x|#O^FlzN}o#AOLTh8?niJanG3|{&hLO z!t)qRleP1LKxdbr(5(-t5R;0v%gc=^;Q|ik+`hh#944ni?sE~{G!Yw)S1qglM;nn@ zj)wk+{UB}W)%05&i+1;JMVbi~2n=>mi9GDc_@@DV732L{+4!5_d+N9^|A=UZ+NU>Y z(@S;?WgdP<V(CNY)XR0Yns%3#m<Bllc3QH=8MsyWg=skpBV}rIcHt&NMg)Fts1Lo= z+$z;I#!jF6O}Yov4q6ZdNw!VeYCN{LK_@Ju$^4Hq(zCqxuF%q+Z+mc8H|tj>jv&nf zS!(~X=3q25^A0-b@8c_><Q7A{#d$Pvbvo8baV#2>|KkO4O40KmOu}p|MjT8e{rRfW zV_0j<)T9FsnU{c*1_Jr;=4~7N?r$KknYXT2Y?c!cpc|0<`se<buZ*>|xL%%2g- zG(5W8w3}lR0q5o3S1b7vyF>OTLIfbDLA{#2NEcj#2ua+EWN(nXe!=8uTDij%l3t%a zte_MJ8-KZ0#cNP=+~waMGPB98O&=ckusHJPo2LTPt)h2`x?tQq)6}j0qM2{MwgTwb z;$UPIt>cUoG|~|W+VTibJlNm=EOd|@y)|CxlaLzItZGvw^YTVz_FVMH$*?1&!8_$J zFX!AFe8O)Im<;{rg|Z(s4e_W^dUyn{xg-I{UG&DFg%07&1ZX;uV+onHXeLdmXE5;z zHKnEL#?8FN%+k!h5a8ikRQEyigujnP?K2~De=!2Pls6XLUlE|W9Z~tcphMW0Yrkjl z;I5RY=mjf^ugL(oq8(C_1*4u#Lm(XIt0`#kV>aeoHo5wv0P%CRqgAN?<=LA%l{Jm6 zZZy)fb#&cJ{68y1Vdl?!LzrDMAHRxpOin_xXJ}O$yK%bHymeS5?`J*}wH@DL$Tb{J z5r^w?FbHGLg^$-LO`!Kq#a#U=<l+p?>4o2NB;>vj;~v7u-3D?y9|6xczj7Nd7sThr zoeWiOkf)t?O1#=c{(feE`e)TlP)lx~qrPW@E!)5>)u2JAF(V^mV~-X-e$}QdaQMaZ z(DEgZ=`WJf$FU6ea4HQbsyVA0a6iUw>z#A7$Z;6mtIOh{0wZy~2L0g%E4S8$8(I<> zjja|I@%mM6nb$Tp3d9iSj^6c!D$5nH&-Y^QWf<!7zZ^d+XkKPZmOp)G{};KF)P-<+ zObcy@wCSGgr&jCcZq<#El=D|76=eU?o~*w91i8T>0*Z35He><MhxMb#!Z0fu=T9b4 zI=nF?4gx#2WixMjDp9&q{tRqeRC(mE$a5X!XtaRjG$Dx|xfi|OMDWFdD@FI<4iw%* zyPUq}x~?lmm6oMmT^k3R6b`q_kA7QD^V#OUL=aT*-FbZd6?OrT6*=mr$g<#i(_(|( z52P?Xz52)X-M|5dwZ)Mvwh{28<w%K8`NeI4Mv@8>F*%Dg4eaUShB~nT2?4rVZjSB^ z+0kKf*P2Xg%{fBH&Ewq!*QnCQiq1NF!NM$bpFh`AByyKpt~HIxht#B4HJipej>!g) zHOQCWoTV;q5tuFNxhaB&VWr_=-cNCE(bqC|bkA7b!nR|mW)JlTK1udl+RwVrZ$5C2 z{h{eWAJ>e#6j*|-CI{Zc6vHOSzW=+%%3J~5rC*+ND)xUG79z<jmkJu_m^!@HA2&hS z`?+SQ@8Phvta&AqYw+Cnvy}4Sf}K&H)P=GLbA)@07AcMo#OC?%s6Z3cNgF0HDVDnE z7^X4si+F_TWps4&+=xIUVe6|pd#L|T>RN^~w|KW9>rSX?i}wf&kAJX!5G;5~K?L{o z%;Hqe=dq6Sv4UKA#|s*<-HugvcON6I#ID2wo=dLAd_4tOD0lxKzyBXqRH|1=-OuQM zUXicOl1kli*fqwGu_0S$FBZ%4>3L<Eo5mrT()A<}Uc-T}xzb%tYnn(T_hk4W_qww) zpV9AK{BB`VE01$wJ&W_-dlIF5Joz;}Gr48IhJU)v3pp+qa!({GW}!G223*;7s(hFB zdRu?J{Y>|;Q-jT4q|^C4pLI;AjHaE}cj>Tx>$uB3%0VdKi_|6rYD?NZ`7FG!<4)DK z)5F{+3ZHqd{-0bB%)nZj*tOXRC2U+B_Cv<aI0x9Ju)b|x(+A%<GT2K!C`tN<`AQ%z ze~S6&((QB_<SgP><Js=_wdz|O%c&`eaEwI>zU%$E<GF(Rbp`l0(et+gPBwqGJx$Jh z&4c*{*&Np;#H1Cg5rW|`IBiGu#+|BYCqPfl(WOWRfnB=e{z9(1tCDyWmNw$cv2Z{^ z?`6}R3uv*UW)BpRKr>FvYtC3uSm=kD)BNYH7{Y2O>F=4wA$;RzNuB+n>#;;*_c==d z&3v|Pvod=K@ignlH=5Nmh!Dro8rLprTv^&R%M7)Jg}b7?#S<=qP42#^U{8>A9o#vn zr-HqZgY62}`Eh6JoB}ck*eH?vvZuIihHgI351TYlMC>ik%3a7}!!97bc)-C7um{d{ z@{=a#Qf@|88E*N9ieP!OyzU-)(J6zg(e2TI@ET07-p4sEydmqAdwrftysVt-qk%VK zv>T4ST$u$8wM*)0mcBlkmfec-gX_U^wQ$HzqkyZsFH4YT6AjP((Hf(9o`{${?-zIC zJ$AiL?zoHoGP#mHD}>J73#*zW9rbMWVdyA4VRJVszEX?6OOhGE@BG55)*`X^ePmKM z`~p(9VzijQh2p3FinLNKT-CV1FsvNcw^@99dK86|*p`;-|A)%pRE_I!qiNT*yeUnR zBVt#>vmex$7dQ7dr>Rux$y0ve=-zrvzPFX3RerO>NKoZ`sdwkx>%&kTh8{wlinY)> z3^Kf6=Zd;qppyTh8LKJw-C#*>I1YAL*P_osv`iHj^)lW^x?DvrXrsl&b*OGZ(^ItZ z8)P#WLn|#E$#@u*88A+>fKT=cf5@eJP)PK2a(o=+Fbzuk8zi=3$8LLlOzJu7OTAeC zwuP#GC(e3YVRhmVb&5IilJ8x=U;Ha&3kJ(R2WF!&=5+rmL=*<6xU?cv*dYY*6e1VW zv!ZP$_u3hAM|<T1%-83wQ^Gl;#N$3WN-avBnur(4(`)Gddkz;0XnZ6so<0#yv3$-T zCLXkH3xi87UMvRCT8gK1zuWD5N%u>Y?L)5wqq6;`<2Hy}xszn@^ILyf%0Y&zyilJf zB}o76foK4EUI0bOf|O5vi`Yu*Q{wp>5g1Cq9@A(D%lhNZzKz!}oqU&?7^;)sat(#u zPk&+*=;`t6BhuB`lv05*ls3JMX6IneYmq`my^u5-AZ#=5@D|(pu2M}}69}WfzE9?- z#4ieU7Xmm$tH%Z$+Zl@Hh&Q5wK^lsH)CEj{Hr#*daV#uv5>QEVm3os&j3hWqbN)9U zB>e5Drg#wHFLoMdIgYfgOPJR`VL((hVcz$?PuF34*Ua&Un`OKr)c9ewgVK%N77ddW zrJCbeJ%8eg4|YvrlF<$VDw{|n_WTd_RrA(6d%q`GVk^d3FTOoOPCr+A`S9!@ubJb6 zhp*>?$Z~2kw9>`LCw=D4l5pF&P4P1+w8bGHy9P}ZD<B(mL3)!~0&R+wX~)&S$&{R5 zx--_|eVr+4M3<i6`@XW*mP#n=^yY)dc`l@Y0b&VW?_K(+n~<OHB@<D*9=|>_I})p{ zwlW30krrPysdWpH7U#cbuHT20<Rx>}>6?AR5^kju2ZOsubalPc7h8Df?MdSN*AE~H zHgFTvk!5YNP%Qd~NC7}xW!h1*I<>HICl@1~IW@u!khadH5UEsxft6HyO5x)oMB@}v z<Rf<Tn&uM^?}6b|*R1V<;4|bqZJSvJgbuDll{0hv<wJch;=zVwp?T~A8;9C=@ruR~ zxHfaoIbt>%-@T>`9VW3bZ1>w8b>rr8qt9BHqu@*%_up>v{vol`WTV+U2Pe=jpX+G6 z5<o(G`ZACd;*Fe2+$xysSUxJ=#GE;AQJbZ1&)^BL{Eo#K1Ld+NMoBkL4XJ?7kOy&= znzrN}*SKJoG+32wAH_{l%saW4>BMy~bx;>&y}W(qje{WS>U8g4q9=*zHqt8XI_(^C z%@v&6?9F(F{{XTyB48*c*<^6gWLChgSpkXpU~cxxbE2gS5{9F@gvZM9+K4zC&K~t? z^A09QPrLbF4scQXj@+&#SC&&+Ub>};3AFcfMZV<?pNFK<Y&@{F5l)n2y*+w-cUg^% zLhi^H=_@`H)0MX;#C?t*tH@waYcj}~MIRf*-hWDy0=lW$h{c2aaG`MX?0)>(a#5Po zrO+ZR$uOWRO2F_+6~+tHTFYN)Hm#g&wCNH!t}`09a?4OJ`f?cNSg;D1IJ#?{Ha?Ny z!nT<PL;P9tO@RR9Da@5ZMWTB;6!%7HPLms&7#Hg7+4C_3Ml{-`w>1|uTK%5*WHMY{ z1T>X*Nnds!=+INpY&R95GNlVT<GrW#_K(RumG$zqh9e&<Kpu=gNy{J9mK3S9O?Tor z-dk~P`TkN4{JhtF{N!-p^^&C<cirOE$^Ks5wsp+eXm6+VY?_bJvG=Kvn<vu!2j*Ps zl4um7yocvOzx8bjk2T4y&^aXd%rJ%pBd}v(W7hiL>(OW!LvQ-*R*)xIreeK;h1ZIT zmAx3C83w}Do(bBAb-0@67Nh(o1zg-jjNGJs75Xx&TfEE<C)a<iPG+OZ6pi;n{*Ci_ zmGB)KDyR9<hxbsldDV9n$ZNkaUC5%?<+ZNr!NbI1H7GO+22Bp=lcK1r8GBN!7OcIO zKh&82onI^~JWGN<yy(=7x<V?UYjSX;0&=Pta8#ES(P5>;SyjHN4+|+c`th^+?fJwN zkxAtPF-PKx_u4rvhKhPfCJ=RWZ(ZwuLzGF{EyV)iAZO>6u+Bb|6tqRY%0Ns`L$;mY zMOzay*eX^R-_*X}QMf!gdsp;HQGU(gPp1~8l^ktPg-f6f`Pndu#)=0GE9UW!^8an! z#|f4evx7K1E}qn~;X1QGKV_#+%O7F%|5a!$Lku$VA-U`4#`Tr@y6?JfYqj>pkmZ*> zj;*K>h=B<scl}Eh>i!@-o8^-^<|JldlVY}awY!}Ro%v@-WKu_HM?OT=H56;8>iPwF zS}Kk1T}-d%Jg@#iOKWlX`5oHubLwuX<n$2yt_f`6&)hTy;43OB%5{(}oHwLRYSp00 z^=RQlgd`oZ=5Odacub2y=UcF_1lJ<g+k%#;>hT{mqI-jVDlv`GI3801tLNv#l}@j8 zMiqH@f`jW;RmE#V!a-IFZNBObKAk{|IPpO5611yVcnBxXwd!gX?+_0!UV6d(I9Ado z=f;-dwG&Un<2oA1^(w#aRqhlBC|A6`g&#?%cOsnjprYb?V^Fy&?Oqc%ww#=Sb5x3> zJ+6H_@7VoP180sq!C)_<jPH{mvMwKB#Lo*6M!d1-WX|(ECNt4zzX#Lsr7<zg<vND- z@Y$*iYq_!PRj=)8n%VeLq^Us#K~MmIDi=vxQwFnj^ziLjBvc90iC6)7H*x$PzJIy5 zb`^VVz#xNMIy3XQs*R@CI9K>0z|VIbMWw@_JL+uT)%_rH^<fVj797Zh$=@(N3*z*l zJ=YuF0d(yaMHB$mA1*X|4aOYfs;l|6d>>s5IZx!@9(sVJk%049E<G@)_A9wkymdX$ zJj_5pcC((83+nuAoe??kf}P!8%!cD4o@T0ku%m@IinuR=rkrLJ&!$1v4+i<k)YFcZ z!IdyPI=W!&${?%EvUS!1TBtl8Yf4$2R`MoaTAT#6HVI`v=@9k(eXFR2g!5^v2he(R za=UcL=#9LkQQGXu&&)J@t4n?74^|w@rec^gsU4<oc%Nt<)JfT9-(U5^*csJ@FxEk= zwW62#)FuDsYgGlb0o0?78a4VjFgjHzFT#0%(JI8gN@kiLZJRrZ$&DT2KD5?nSxA&S za;Q;W3$an`kL4+F;9q`UiH|k>ijGq_h^!M4{Oh^j1zR)ws~#htKE0gpa?=6dD4=rq z^>NwY4m7M6*ZU63QlB@xuvz!=o4wP=a$O7vO+NCIe$<L~Oxemoub-eDYV{L(1zs`` zW=dT>ZE0;q@f)Gk&^bF-ovgW5=vj)(B4Welu5hy4;fQ1YG2U?87f+nrFG26#D7`q* z*o3*WFsV!F!muG>tqOIGrjossb(?^R0~i<q)^)D-(>G*sYcfE?G_*6=le`RjupzV= zs5dN3;mp&bBq*MxK+{*%$4f(-$2bM-?Y#G9b-E`P_+i!7umbjod*iCx4XzUP9_+*& zvcZY>K?lt~`K1J^<*Tc!-rkpXRwdFmNQ+e6I1=BCz0HSLtofNmh_Nwr5&;vy^U+%E zc`)%GS0h63wNgwJiPhp~cEy$btVL-%4$&dR>zR2|SJi2eb$Myb%npi5A_99>dnFpr zyg*0FuHlv%OQRyMC@34GtNo%E$wox=6nvzQgnuy`?U*8SS!eT!)wot!nQ13hCYCqg zx2>BzOP*-MR1Ifq3P%@Ix*1vYH5VEdsN<SxtGd%fH)sK!Q$p?wGExa6OvXp3lS~6q z2_UBb{wyhHP5P3VKmKV{BOy8MuV_X5!PsoF4y%g!68~b+*mlw_H*5F%HaDS|F<>cS zF2up24~bTrTfcbVTlvaYOIlnj`RCSc8}V}q{pw7hS<tGjp-!w-&TonSV?U%)p$bK8 zy^IS7c~Fb4Z57?Z7rQ62^3uMIqf1cK?ri#m%7T^sf<xQobl?5v8zEXkLD<V@V~kls z3T)8sN52p2|3kVz?ZI=wm&)~yE~)*^*v5Rp|4ZaM^7qq$j<4)0CTAvn(Bq!GUe|16 zN9sg?=X#LMRwr-4tqQ08GzN50F73LSax3wZK1`vqRQ8dxo2|q?&O9??@JaS4wJz`U zLxEDpaJJm^i5OGLw*7Mh|5oPLoKheKTT@9Dy9|l!Q26{VE>Avr?ao>x?A$|{_;#qN zaI6cJ@%`bookxpLgr!8+GXpLs8K5E;k1mcFph!e@VK$;$J&17woN`X$1zi|e^wT`_ zdJ3a7v;&p>ibh0oLfBwB4|QIWk9%S8E^RmdeK|gAv4az-seli(R@y}r_BJnM!6qpl z@g0w4G)>@osgS;PysL@SYwdr>DlL8(GFb7Z)tFs#fX%VK=OAS9uBg`0?MOsV^>?z? zoG(vflWW8e+^yF{@t&rcp28<po9XTk6U{S>IjOvFBXc}&kn5P0l0*sb#%cwGZ^#(y zTAa@}&)y9@;Rp*YGSw0QgZ_B@AJevL*@@^=lMo0#vz8plOwrgKUic~ui)k4vi9};) z1Br5vct4g;=`*=p*_UJP;nr%qFTeB_cuOGGRKyE7$x|wL!>!u(>w|ZIV`H%(3zxa7 z2RItO8|33A%idY)eNW3kSFs|zu-Nt|!2{lbP|NadxQE`EkE#^qUaWh05(ZT@)+s?x zb_uR>(h|98x0hVo*==uKL$h1oyAYLC16mx!9iv79`~=pt%*?7QT9@_m1|SN-do7^J zfPQ(poHfUiyInvY-ILO&0q4B*R-Av$_iXj>r$KIYnb;>U#PM$@U#SfU%@Z3R8_p~p zciP3x+vtf4A!cgA!feinE_mhDXB1<&&ScV-)MT_MsnyD7RoXZ{K{stkoatu8frgz# zsvbTC&qzXJOyYRH84|4f&p-cRG93TH%(Nb!B^A$dYQ&boxX{2*q02afw!`{{?*v3x zL}b`b3HxF^^YcisXC{t{=E!^lP~i4gP9PuFJtooChE%d&Fi=?Cevm=8;7I)bt?c{N zsj_%h3^z*1=-$ywbEk|gPMss+u;3vLmL8<8Py3jzI8T31y4ay_-XWO^Ktp)|?+P06 zjK~({G-=6?#t$z=OW|JdRO=$~-Cq{2cEvmZOHPcb4gYAV*L(ZijLMZ$N&WP`8U7oa zM}+SLwR9W7k#QIVQtVLE{Xbs|6QY2%qj|Xey%GSDpIuMdwoEQ~=>M82w4NpPP_ABe z5yrs~wH^SF$GCenzgI$+o{qb3NO0sdsM}yBx4R1gnKg!tYh>nxO_Dk8od<8jBf~+g z-W~Bl6(iKGysRuJJRS60e{YOI@zdDdmrn2nl1>#sH%KtXP#Fv-I>ZafWRz0o3+8sp zoL%MlN~4+0X#Bcz6SSJS7WTcG-^F`egm@y}R;uW>HA;&I*i&`^+S^ob<P;lsv49;l zT>hhb7|=LU)4aCszL4>z*4EXE|G~(Li}uMR(1jXV3V%Yq=u%0o>y!V$Za9Z}thnR8 z&to4xBy*<T_jn7j>9YQd>R8PEo!=VuA-iBAu^v2yqr5g9hjrRiH~)--7a-Ks&a3YI zpW&jue7jxCr}&%OOyMRu+po1j+&{hy1lqf`kC?iXIIyd@?3{IWJ53}_+tmK%-FDo* z+~g6u_UB0Zp15^7iI>+anoYQ#jZbi>(fc7r1UhF1C5u#lND3V(UZ<tjn6&hp@*!+0 zZLa|jYCG;rL~ak=%5VK+W8zX`G;Y=KJRKV+|AF9F#yG|-ZITZDK4uUgH>9KcW{W>D zv#CE1d|k&WDk13Uei^)Dk;E4}JdI#@)_vsEgaUCrKEop5tSPsd@yg#vKLDdjYZ^Mj z?ca|sL8`wz5JP?|mi8^BG&*t=y<Vw;_7<>UTvQAU#?ERJTAK`PJj$pr&-bJ&noQw^ z<JbsPOy;KDJ9aHE(#Qd`<f=KA--e=jw4p?J1N)v%m;Efl^W5N|e;1S2q?Enzih}6u zGC`%Bq#|<s#|qH7tg57FmbyAp|9KlY9%m3j!_7!%IV8-S^%AjZ0PpaT`Zsv|M-kWL z+26A{@`(-4^f%4?43=~$@Nbsveh6eVMjx_@;x{FazbU!EMHD9B^YM6JF^Y=$13d2@ z5e^%k!mC%O>wg_Pp&QWVx2u@Ea4arTMh6vo!)o%0_(9@O>&Eukpw#r6{PzvI1gC7r zP0WH~l1@fW!~u(H-x0P}1O@GEWE;Lq(BEV`a~p?X*Y2lcj)fjm?aIU?xf<K^XQidJ z!%FeqM`M)(lu;VSznNpk){RM^co>l+!U5o!Ev8zls=;9^M2%T2p?Pd<Y%WoVDJa9& z{Z{WrxZKVwZf#JqCLGo%^Eq*(%35Gca#1qCqn^LJfNm>s>c)#C+eDGrb*F8|1gV+T z9hp0W3Ft%g1q}K|(+9QEakZA7H%5(^o=n<{%Go^HEg9N(dl#P{<f*HiRxh5;>^Nve z7G&@{2jYMMj@sCQHbDA#_wa|O?VCvKNd*p_E`6H|$39R<H;LN~*HG$q8t9-xd&ui> zH^#zVfOVaVPRDIQ|7W=i+a;=WKeP4Uh%6uIm`3jV*%(XdWCnXSK@j~Whn#CUn?pu1 zj#cv>JY59><5%RJkU-f@ylB2SbJyEn-<hCBP5c<>emSFn?k*K@BJbLFZLH!yZQ43s zuHA0ujV_;TVmk%MO@2O<HC=0Co8&6k3W4oUzw{Cu$RG81fd}y|lV^Zdt!QGw%kq5f zZ$U~+6!#6<%OZf#&fYR3To$78JE@#FC}T5=itP_Y8!Jp%uf#pKQ`dLN5qCCk7lgOf zogJm1v*-!Wqs5l5s)OQ0+`wVT?dGLj#p9b*V&_2Mw-iN>@Y)X<v<gKp-sR<Klex(I z%QEG|?rGST9014UBjMrR-16mC7`NV~jb%4!yy<6C%28QTdu$LU1x}kZ)O2)G5=XxK z))Oc}#jx`^T7vqTuJRuHl%2itLVutT#*k_PB$xx+=(zZ}vV6-c)ZDiCecMxRj&PpU zO-QHo<y+n<k5jFTZfW|xpPk$wV^XP0<b(0O=-Tad_Y}iLU|W(;a)l4R9Y)zlj2(V+ zc=5oR_K1vfb;JHkG+eI}Q6M0TH#*B@!AnU?M`skGQ^Iz6xQrjL>z1?~K=~=u^sUC_ zjBZ?s^>H96MkaZUNz<HPv`Lp)ktD5^EU_))f4^@lBGCDP8zwx^)~ps!Jz6>4CKIkY zL`B`sHM2}Z-<v=lnzQIfj9*a4lD{41NBGTesXn;Q-;w4)hobqM-uf?QW$aRH!W*KI zF;#d~=d;o9=>%h`4Iq4vNKJnLuYjwo9FzTRnyq#DLtyJ!Oteg&c`)*iSo%`*r`&eY zZ+XiP)F3H;OO6reT`Vf*LfGF%aX8u6h(0G~AmvbLuXd;i9d&HHS<9}%*TWJQH7=)Y z`Zw2dk1&j{38j<Wc7c?lqnRA#LzqZ5uGWkRuqHWu9cK$)ugI%T%^N#;VyShDL;O!K z%|p=B-zGzUq{Qq$othx%U&=2YuO01n-Wh3wvZ^1f^%~hdNV{GxWBJC}oh@{(Y+zcN zt+iH73HqsagWn|$m_aNvj3{E=>**0G-1Y$O6biv#7UUS4+>$GPiLi-cS2_K|lFK!? z_S5f$YJhoIR(x8FvIm8%UF+P&lTzAu#sr%Od{cA`2VFUvms_Jc7(-0bll#N{Dt!X^ zdxJ!$ZevXU!^C5po1cHv&Ezn)8MykqSX$e$3^bPx{hdckBb_V}J0A1xqLU+ETs-6I zG2&WkrYWkusJ#_syYcZ6+NQL`?-G-PJ-@!Xq{(crIM=bAk8fye;Y-<~Ps<9&h#p7n zh)^q)(VvZ%o2aGa>OA*Mzhq#1PMO24EvzV7CwlQJC8(y?UoB<WjIP|Zy0A-e{lQ)= zkrn|Q-Qu)QBt>_4Voht3EP5Luca&~|E|?-s7oM!$)KF}6VCfP1`-ym~Ua`w6X{;i} z4C)Dp8RwVApDJnOE1S<;`sUuv9jN&!Eu8QZzD{nrw#{u7)NTQkDv;z%nE)i!|LzDF zKxQ;LE-|JLyxIr<r(%my^7ye!{p5|w)HN(W;Y5jmZ*?A`H%MAR#{u|Xi|_j-bj%tm zPRQBWU%=}WGipR@G65-iNeu0A3$ZSc6TOuw`sbKdx^}JPKTEvfn;*{86idq~)=MXd zQLqWIimy+f*ytgq=F+Xwb3<ay<r!;;XnWFt;^^0ul<ik#8Xw2>r!YWtkR@h$UvG!_ zHIyMMi@MjHaE9E7V%z17N|2}Z=dKv%z$Z(!7p%A9^u`5uU23Il&z&&a2ZJ)6>m2lx zRUJE*b<a*lDy^=xPHnmHc|B?_!Iql0R$@NzW4is4z1Q~#y0qYp*l^>aIE(QdS?lXr zU=IX2-7QhwALu*FOrQ_0bGc9MacMYql74R*>qXi7UA+dM#w^|Cf#T}tM>HT7e595E zE|QP5ky>)UXxRKJt4qATz+FNi@RxqZ=ElSN`=*qHDvH>M7StbSt*2kDxz6+&9;;Na zg%-3+x6Jqh0>45e+@$63e~()rVq*p1*IU!WgnSMYYOwyBuA3YltPCZ~x5lOk3f$RY z>>SbFwmzZwk9i+o*c?#1P~F2>83KUBk)Yl4`1@&_+qpwcp8<HrY<?oSUfcfZ%EDXT z9{+q=&|q*>2faV)6o*s79vsbk4>$~)a1c?i#5y_GL_wKE-_EO2y-Xs1*2x-ITE(RF zZ1_q?*FjlKp39Qpt|R6ayPqW($v>4x?AEwpBP2q4YvkWO+cdW)xjZeBUbfD1fK8Kg z_1&Se6z7PW2vS>1s<UUHqm!_HvYmZ3{(i5b^K-_=NpPJT_?6IKiw+J8ZGI4=&sVYj z4#u7YgTLGUFW7k8=C)?=S+pQqF41(|j&LmT&3dm1-AW<|W<&kF?k!mJ_m7vL`Es-Z zwN7Y0bABnUFoYyCdGhLBMPwSaqtc;72uN$%d4Kc1aS|ap7IJSOZyEUR$vi_?r#MeC z8M}A9o9E8$RIO~JC3f|GX!&u*tnXpL-`B?epyvHr0&e&c_hge4lEg6Upmg}?FWr@l zyl^;!N4HJioYVI0%$o?5;iW9eZD8e&g&BsX$kli?_jZd)iDn;n{2xTD=7jNw=t#wO zkDcMLe{O;Cu!HeGe}*}ho0RmZ>=5<E*!o2mtxkG7lPmYEguYx1{TU6L@qhH(?e8O> z7qVKSkEpv{>`&<<NRb^*8G1Qcrj)cQ&cuT>jk*NgDZ~1QE%VGAX=f?6|Dj`!xh`YJ zEw7E+bWpfQkSdu(pjp?v6EH>5X16$)!>pC6BS~D1z_sSg7r@Amu>V-jn|~fJ8QY2S z3mSvlydt_#lWsH$)_;yksj<|tOF@S<tRIBiVvyYz8TPXoybi<Ku43H?oA_}c4Igv! z83!pE3xr$Ec{&g4aC>WRDL2;tX3Przp4b~xy#6b3twBt6o<TbbcDCY1L!+2E9vyWT zQ>n1Vn6>LLtG<GxW)nbZa*cD3Yn^t4iAv<9M^{m{8tqU?FaRt;fKh?I8d_hW{4t?L zMtm?69dNFKk+q*nb=1z=S4D&?k6OgN0T&5V7be$en0u594hl2ZeAl|@(_2$2tA1rt zL0tJsz@2_9k6e!_Wc(O6a%uK;?~V;E2r_itjnB&S3|_teB3+rCryDSq1-#g3jJ4}~ zbu7o9F#&9}jPa89!|Js)w&onD)mF9Zgjw%5Fa5Rhj&F<3f&2-O1Q%pPfHv5b53acm ztdiViw^$efxDVKANF-H~_KV7i=bWI5h)_6D`~Fu%bTHC==uxgUiYndi%jo=B4p(E+ z!q816qGdN~0hN~?cf;TRAyRVyhFa#PmV2S0+t6(PA3q<>o`!tOnsdp0DiIJ!E$BvC zhAmY_w>JZ9C?l*X;a6*e2D^%J<ps$4Y&(Lm(Lo_j<Ig3dcctw7t!wq$A@9?r&_{iX z=pzXdBV(M(?9yoXpTvU1k%un7uCO?nVQkDWp1u;iX4dA!dUU7p-tv|n9$5ZwPS;+A z=d5NqSltM4JkyVT5CViWvyYb6q#LlMCD0`JXj8~0(sb}h@}yd^W{+Tm;OQ0o#cWjr za;xBzlq_`B3?AE$aNOF-X4pxb!K5Qs6H;$u_}}@-`Q{39Q^D_@9eX{+J=Y*}Si@qI z;~_{rmVs(jzWNiC{s2AdY?RFg&C%pct7v5-F7U#Bl7*?&RoF4ZC|*x7V>Uq-eYk6q zJY$Sun__}7WYf1BRf{;2hoj5Its27%%PL%lS|Hi8qD_~~<H@B_(Y$cvI{#|^x+Rrp zOwP`*d{IAB^=CFU6aBAY?ynT$ZNUEK>I_J{3cv~Lb2*^Z0vaP6)?xnkzI3Sf{SD=w z0fZZnGjY7qxst1nBG^_UxFvhK<%1fz&Og?_k&|FFy4%s?huO4XKq~*a0(P|pi5y6Z z{nng0ijASbKgj$Pb$^UM+{%Q;#E1Sa(^%u`<yccyE0>TSlE$XH_GRZZs3jrUJ`c{> z+)u+B&V9PNd_pvXNFv)U=g4hlnB9h#sO)Hpb{^wEzFgu9+lr!<);uU0Ql7`BeDe|# z^+Eq820c8m67jE@B5*G>u3$9mkecuv0qhK1fCdah0S`Yhvgg15vZqGs#qGxIEu+LP zx1-A5(G}7SQl|BIvX`CLadC6o(_3R^SjsMTljT||yt*pfBWMpN`x#-ZlkA4pOV*nl zS7><p^pVURcB=)uTSdXx!DlO@Zdcpf&O<E$6Ut~SaAgLFnIqSfkS?iU1dRWJSLn+= ziWWbcTe?3Oh<NgG?h!pz;a1>Ul&J+mg*@)PcEsc5v!;mHD7<AqlRMH`Y+SZYotcLF zSE~b20p6ob=4Timz5oFQ<aO<40^p{ss?f9@keyEg{_}#BZ}m$I-S+uQTH(-@Z3){0 z3URz^^@8kwB*jLRz1WGRE>y-rGm%D@C$~=87uW+*?+*{T?wT1g<qN2YP`jyUevy!% zwd9x_1sde$>44eO`t{)x_kS88Qg;A!2gs3Xa=a#tsDeW??MZl8_w&NHGtS;s-|m~Q zZl`3xKQ-LNKP?x&)~3j=@5~#rBk&Gu`Em~H90N9@X6nUDw*Yg+kUH_Gui=KyD(_2B zT#-7r!bE=nmXJT6Dr%l9>gsA{$8r0OhqW6@uD`WBwBYbtwuM*X2w^FtU}c+XTddE~ zbV74YHF?nI+Y^C}Y>Yea_?orGN-iXE@&y2sSwpa6)avP;NNX0rWlk*^@_5x9i@Y25 z+sVCxZOqqYG?BXzcpy!DfY<?ZntUXt&vFG`0f?H(rlC$TN{8k;lPJm^{NXHkH$;b( z>eqV7gX~rpmSwQ6Sda0yFtv_9H8L}%L=gge)t3hdj=RhJ`Y^_||9P{0c(6&n0*V^m zn(Dt3#lJ;DayM<_;3Nc!G4irx68_`^rdPBMOunwqz*``u1+8a1HORTZe@~X)qp6va zd`WnKOacgWMp9^12A_a3H>2Tp8gZF$-a9T@H5Ki+CX6Z3!l^DRZv9adUx~8d84rmb z_+9)_?ybNyr^SNbzV;NoojxKxVeNKz!(X=g+SO39cGmuN&jwi}7D)~XOj8FOS^Cph zdM{8^x0!86c@JmjhdreN+s3|AqjDPH>2yr??Yv(_n&=eKw0$$PDs@=*GIFd3|DN-@ zu_TsmAaxg_A8+{_t|!<$?ygmev&BN?VigT5cGB;>Lr+g%*M357A7Yy7J+}>ilGfuQ zmL@I!s8dLP{^oKCnX#-Enw=`_@rOEP!y`dGat6%BOV8Q=tK`uRHn&E33%dO{VDvw9 zKP>a?GwF`Xn2WGK4M78U>DSZe>hb-v{d=1}()`^Vjq?c$kXfDl)0O@GAvYsDYmO;q z=5qc~!kNnum1n()R?`+PuhY0h`Vr0ZyXH~WISwV<xf%_qe62?IA5g;CI$JF+kcGY_ z_+M#ftuIZfo@5)LHhl-_unN3@)b$MhS>~!qmJV<C^rZvz{rm%<DlQecRg>;o#OXNu z$g}iF(=6UnhZ+cbE2FeX{a&~jDSccGNBMC|q)s?Or{WYAu5?h|WE~)|W365jn(DT_ z^}k$B-)AUvAl&XO+t1eBWqJ|JB^;DA;G;!i&}EZR*^=d45Oa)v8m9jr<Vg!)sP=f_ zz%%FH#bg;lZ#LnQ7uM4Zwd}46_<Y?eI`PgiMiAU`m`GokLLOSUP2#X8tMI7M1$lmq zlp{Ua_8zTNOh@A5PIS!?dui>!8ASJ(O8k5K?8res0+RoTRxGc@7@^_PPcs7V4xnRn zmJ*e@vGO~6&7#tmy%8~t^fpC5_Y#hgWVf-$mRR((Od=a+;`|#ddc(L84SkLv2>e6* zXF0bF_kZDQw0Iwssr*$M0b!`^g<sJTcmF)@=u*z6Gs+=iye9BCxiqjqvoXI^Hl^8W ziR1rc>bnD>{{Q%WDyfti$}E(Oq7cbQ_6~9Ok!;b~o082*g={5zoRMsjagvd}DQBP2 z+3p;_*Zb=G`~CiD+`aGpTF=*WKAz9#mj|qHQ$o$IV3pNj2OwpEzo<t`$<V6iK5=PZ zRRSI0lH|CkPZ`RZSo3CDfhyte35)u}`mO<btXi>{y?(Ale6v8>>@wJCG8&S6BwM-W z&I@Cx6Et&GY+`ZUGdzmkcWxr==D5GzGX!95!NA?}BI&Q3D|cUhzaq_L0i#A-<j=my zi6)jR7WJP`)>#C#f`p;>{S|Ly_F*m95ft0eU5VqVJ%u5_bzt@lc2k!^e^X|JtJ`fd zl_`sS`M;5+=QJ|w$f1N9%;;GO@>yTD66|T&2lAm7ey-aWXhCdjv#ck!$3_Z^-Uv8c zUAD0R`AamX_B-0Lb}sSdLc0GysrFWW@oG<}^ze0N>`v<xlc8*^1dsFdsKkU?**9if z;~xz$aJ|Br4^K=Oer@h*{>0S<@GQNXzHkF%$9le`LgUJCs>m$f?nuQyOH1M5B{2^0 zeI3<4h8z)@eso!Nlh$h=)0pMPW55q+P5}S{fFVdwv<>cyR<H*;K&wyqYg&_maIb98 zpi4uaL=U#r-?lQ%aP3L4PL7{IkmaPUxvG4Q2zm;!a#U)>ibl6b(P7?Ceh>if17RHs zoSWxFD5sd04xwegcJ>G`LnkyMl@AYvv&l*|Ny?XqoZ@CWey#H)wr#m91l~Aw8b?Bj z=31lCUkaV|0_@}Tw6)A>LoJ>sC0-Im0>?5iMp#BJs!VIxF^AGN1iT??`)*b|A<EF( zgkzu`BrE>_L3bXUd@A4D7b#NKwmqC_pyA^E;M&fRo@u?;ciF?E#vg6C1NS6SG-iLC z3#3Mv+jblg(gwbh(~dnQG|~*d^`#mKkJT(vj7hGI7=D>CjP!iQc}HXUjhcMm*5b{* zEG5_gaYE}$Xv^{<3u6=Q(DEyeyE31RihmD%?#>9r&u;|c)5C8Go&;ctbl`;L)!@xm zv0l-(mQ7;*DT3AM-S3w1>>ae3C;DoyM&uWA+K7od*v)@3?6%&}_X-5dxN)Au*jH}t zUI!*(wC7Z^a|cUnzzxgZ0vX2A!z<Dl?eus*iJB$n)#v7&6K!LeM2!9rgQhtxwLQuc zy~a`Xm}Z0UagiFBuK<l$V7Lh6I$i8E6r(}>4T;=r!fyk#-}dt_gh?!LiYg?GA4ir5 zi3W5(ojF~i(pS2w$>^2$=QpFbkBcvZ)ZjsoDK7-34I&ktA{#Wi6CUdy_xUdUm`-rI zUPG-BIWF3Az~(JU6E$n9d?VWULHY^Cg*d$8c7kd)qC;dJ<daUtI0std`@;Gw|33{> zG1v<rBE14Z%z?*phc>NEE#bkU=7Z_&ng<S6Qf2QZzI$sam(iaAqlf%GU&|tmj&#^( zR*f{*7gncn0C(vC!X2l0YWR99OB|hlFmNw?A>&^dznBzLqFA~}9hw7@f{>^N+rIZM z2m;00e&h+yk)iF0ni0K}@m#k^?6b6s?~P6I@Vq&cKQZN}Xew>*LO?Bl)}0ieTI;yf zr7VfG-Z~jDfr~+;f&)Lv@NG2u?&v26vLGCf-V335*hv8dZS#cMGTl4=ySF6GFo-IE zZqe=YRy-`aW=2UUy|*AJef;$81gy<|5Ro;~JBhXDntXqW9xVImcRe^`;$dY*l@Q(} zs=n4_Xa0voOqpf3l-o2B5O(I@M9W9Ic;TZbEQuVPMcm=AY_(T3teE7S$KQFKl_ZVi z`VbM<r&!U2YxaIW(wL67bL8YR0?YGN2cDNPA4%*0U#jqMAl2;9E*;@);Tvs6fsxs3 zqK{Q+;9eg_;s*>pK*}Cj!Cy;n#X;ZxHEpYnOxZara$NF9(bljWInUec!J|{@IWho| z9f59pmosxeOS!)rQE#W3$k(-DJrthdZaPJQ`=8#p^O`?gYklBK@)Xja-64)CWp91+ zCC?Y>JunX0m2)H!mN~o`P;Pb{;huGmS6W~05M+|ej9=sY!CAs~>_<2>eHs{5^tw~P z<wQ25JJNFxp1IQ^badF78_U2hQK@)XkBtn?8HjrPYVpYUqBD?p%qW@?j#eAz-_F8D zKN!N5_}da)Lf<Mm<G+G!Ecy?^UVx$wK8!0NI!mzJh+&$=h%UK-8q|ElV!iL}yV>9G z1IR%LTrc;-4R)rh@;po6Q~j-OaEN;xVE_TjC$a4{S@|6~94?b)0(oRzQH5q3(&39o zuB@oL>k#7pk#^O8j8)$_ZzfZi)`uxifPw9)NcY+Pri3;!++-w1|8%(2=hYrr6}OlB zZ|Nu8r?!5T@3}BXc)aYXzP}zPl)d~{nWWQErE5h<zT9Wl5mQ#T!<)iYd0$<bm|v6J zJ4`O2dYOjs?5Yc~$ZIEdrzlk1MI%a<>@I~iFuj~O_Qa18um>Xyuvf9uK;*xYHE>e! z4cd9M&h6=67RVy6aC{cxnE&A2>)V+9!A1Rvdj{{VX*ec6J~4?ZGWaE3Jg;G$)bMI^ zk^=WFw+@IE44B?2HYkMvcwi#ffHFy9`0m@)lNsPLIR@4iilStA&5pUQkQItzsq-{D z8prD}-;w!8S4fR6Z<6vZY=fS-`{DxXfHwZ!_8Cpilb;50G$B>4pN&*yKA4n^%T}~& zGsN(kf*w?1Yr%gWuz51KI+(!9j;bJ4^Mk~N2L;K8S4&i&?!08AtxdjadCqS|&I@B2 zNO>9ua`NM5ulBKhY_l)*a42?riJWPmo~=N~?FlweuOzn1J~vkm4*w=3az2agbIq@4 zpgn%(dDE?6_hpVzrf^~{VOlEhq<ZEp$B?(PFuhBV>r&)z;Qze(3pfv6bT-TQuCti) zHx?=zxpN0mRPHybXL!CyeR{s-Q8C#gX92VgP$|T=UfL7j(X#hmVZ7o$70z7s`<{KI z8X@)g$_^AN;4eL|!j{07zxFKHXBVm*@h=bmYdJ$9t(JV#!H#nzTN#!2<wxC$uY*TM z{rohkb*Y&yFy1D5n9du8a(`JoCm3qL8k@8X7^J`E6=1CRkTQ$N9^KCevHkB<Ck7xl zXkiqFH?Gynv(jKZTm`2QXG*8xZ|Y8yu`0Lv_Oe99zWe4p9b9>c)}x3xr}bnzwM*(M z`7T&=5UJHIfMfD;zlr%0(83gh*^(|xW^Z0u7LcQxve9Fb+m!+;{>MV8&ppNOzL5|T zoFw5+HmJ7p$ty2-hjP~Nh05NU<>B-mnvvgk@|L)`+Me62JU0UC%}oFI?3tJQ<3=M_ z(p`pARf_FSK9V3(s=M}<myE7@xUgMw)@5kWITV1@#0yY#j4Y_OgKCyZMJ6uwR^A_% zI&Dg%c^S`2m1I@<-3^*TyI?G|nPd;>|32Klzgy?*Y$WELQR!WGuxTS$xYWy~JsZ7W z8)JSayjOX2NRAZ^Wq{z(68FkR_A4Mp2trGw0|(6FACySL4*v}{p~sgz=@jQqzd#iz z+ZP=x8byga<1^RIjGFV%O=gJ6#Ma8v(147}8CHKec|Zkzg})8~B%~tXa2?&79>5rS zm6lvKDAt5Dge^%EC;Q3G?oG^;SwVhe387)FQwlaE;b|s5q|NUlG?uhxd-UdKK-lu8 zmd|%^2f4yF(=xMW8goEd70%kbB?ksNodKg9M8}I0H@!_`>>O1Gge9W{I6nq~urd`j zwL4X0K4g!8DD_`F8_2k8=EM$)i)JTHuE#M=eY?FbA{3I!cWyRG@GxLBHijt*Y3VrZ z7Xf|`v`2<kdTo*qa*P<U8%fxp%$Pv1=0*lU0Yb7OddS}kH0D`K_?h2vfI{xE&l?W) z-5d(3!4~%ecd5D^vX(1KK-A2>jv2ku-h)Wx2X#G$j!2N^k0V;;GJQ9{A7w-clZ+<N zoAa+}nCbnBCVgALPUGZW1-o`^c!~Pr<{fcc<Mmc-(+q-#-Bg?Kefar}A-=S>SxmBV z!2H{9x!NJC1qLxRFHI&ua12DgX|;jR?l0Wta3^eH;`j4ppF)H{(ZJc3yZ-xsEWEDO z5czBM*WhNSLvKr!$RwM=zIo`CB`;~5xGI0?RejU-clrNmRNUH`!=J+Y=13QgzNENZ z$co*_m<ltDUGQ(v%TNJp{~7kT2vJOH1tt)b@!0P5vA68yAmLh3AfG{^M%jP%sIku4 z!Z!G&S7evsBC5%!i7QPCs6`-f=Cyjh<xGo^CF@&t-S#83^#MpryG;veNEzWD!ycji z#l{-~lN|zg0>WfsKHz(6BsZK@>2)y{*Y2!qGWgoA|28P|uwKiUENQaYmD5Xw;>b5h z&`Gifx`t1Q1A)f^%r(hSm6CaJX+jN1_Ywo5*+*=AZBK5)soLw*fkPVN@&27Z{vVEX zS!|Mqj$|kBivxmBVwzait~;PB?pEqnoqWuf9AUGXRZ}+lTZAVX%X+(33xF-wG6ytE zkU*3?i1>GKan&GIa?ZQI{L=<yy79B*evUQf1%*pJyzJ#o8+RMbH0Xo9c(ZAq;A0$8 za#&+(6Rt^V88POM;Xt0<5b(;~$<+`omR4=UeGdy?0;OC6j|cWbEheX@6|1(Qy{@hk zyaP?sc3!Q?EXnkd9CY7}w#X=TZdYmEzTC}sYmC}T*<b%f=S|li3!`6($K;5KsSPq5 zBDK9QhkU`#TsgS_xpl}yw2G{&78Onm)cta2##q~LukK$57Ra_a?_eDc0BQ{{rm>+` z+PjL|rTL%P`03?217BeTG$E+A%=EW5`+cmfO6oFQc?6bkoTOMC2(o9M_}(GzJiqN& zW;5owDQ&vQ3rcg<#MrFHeg%k9bEuOcG<L+hJIt-ZNJLdtu)+1Zc7&f?u-Dy~n(X}c zs1~OmoD6}9?nUo&#nM1whI6xHMdRCkrq>8rcoZ=3@%;SZ@Ncq-TqA4{qq;%<m&;xE zepE5x!Apk$!9~fFKDN_>AH08lS@<&cQ#>F^Rd7L=4c&2u4&bUw*Z|z3zq^1I^<}GX z$UWN{>*~z0&5@ZDIphuJuDK=Y+;Gvo5z1Nnla~qA3nP2*cK;g__v7w$P?6!t7L*_X z%9-AA>iTv5E%im1mXB-7GyS#wYcrBJh+ThpSiD%9#-;Z*7#-%vaqyj9LDb?P9)4;h z+_`d{Xa0}-w+hTbH7J3h>TOjcRh!ZlIC3nMSDHb_z+3;ze%e+Vc7nb5BD~FQ=Nn}& zU@;FO{%sjG)7i3)P5Ayo#fQMV8WSjiy-f*#k^vFUr{CioH6k#Hms??ohaazn3Y6E| zq?e-W!wVrM@bjsJTAJnhQM;z2_mDy~dMda_wi*#XejSY)z}ln?YMi(&o>iB;RdAdm zLM#EO%gJ6+nnmXd&x2+COHvN(wcG60s8cMal?-4r5=bCLvIks<I2tvh#y{WFt?MLR z4>IQ40`KBM9fc-;=FdKYAsaT8?JFE;>?xdR;90)#*dAonZfN75d@;6WPmv>|qhh6W zg#^Jv&7aE+sXZ!zTi54@te)DJV8?fZ!zTfYyc2F46+QtPWcngx7N@v+1FR3e<udKr z5RP_xTPn|qH;QE&t~!#5z&sL6mw`%0vVZ@Uk|BGr^*q`^1*CaT>QQM6lxxNe*r^9D z&b1DoEyuE=QL;3sRvR==dmmGP%n}GKeaC6&AdE%y)toGXn}01WEsa;}qvyrVh%%U3 zorx@>vo7JX)IjRR*tWBoh&M9wd{gQ1RwD({zKX|ZNnO-kEY?7YUx46?XwQ)}zITvE z^NmaJuCbwTZi$Ui?OoY=K<rO}zYr9;>WF-n5i%em_R?CbW6h(ERNr8wx=dUeQyaO{ z#P;RFokwY>*%^p`YSV9fO8Ei;|8vRw9}&u*)Sdo@yCFEwJh86Q`$k{+gO87#4H~6E zqg7#(n2Y4<)u~h;P5K`eAQovJYI5+)?TO=qP>a{xW|fs}pD(nGzA+vkvQLx$TC2~+ z*Mbsp6B(ksUgGZ-rq-^`WXQ~LWJatA^GauFYI>}JdJ1HDXZ|S>qyG{Qcs`ZVyAAFF zjqeJlsuMNuWc^h1-G0y(I_;$wdo$a3lBn7DwePe7<U9yI6_~bZ`z!pY+}Lf7?4ia7 zyA*nPwVkTJcN6asB{!&<0xki*sZYv?0#*4A|K<u9toDO8S>SDa&ZpFx5%tN@sJlH9 zCgqo;=2cj*hJ-W2assymiHizN#Cd_S<h>nz{{ZNc{?m4>4Y<ctq+!BHRMTm493}Es z(Y`v5eu}&8kfT7skM$LoenqdjH%uNt^DE;xM`TeRqu_%ajh%FB{Y92-0k2)xI3_4$ zAh%lfUtRK#@^UM#Fpas1-IKE5i@7>u4CaTFlN-hPc>zCbW;eBb{qm@E!SpLkPEECc zB%Biqq!qxAU#mF`0geaABHqsD#OU_Xi2Mu5TO+zOt-T?-j}3<GTuOGm7xl;|y<?*w zoTd2zgbe^*MQsPCGUijbYX!IB9hQbF{oD=GKj?3xRJx@o;d1~~uH(^j<7ReXxCwzP z4d|$O%>USV3uk<IMaD$ysnQ7l5~ouRl(K%e#7&_YU@wqN1TtpK#6~0V-_7|CFRU&6 z$Y?0~DD!$Gi&>WY$Gc-!p7(4}T)4FTAHO+`t`4=>{}wC74YI6Noi;#J{I}raxg7VF z7BPqVHxn9m)35F+3W*+=2GkQSz4YpyWQA@6z&Mah95P!vC_u071hCv4QO`uO?Jl+J z;K^(KeuIXRY=WxMz(e=cOy<W?<9+_EZm&GIACmgIbKS#;6OdUpO!tqg103r*^1duj zZ5+Mv)mQKC)W+?>J(y&*9P4k~M%KLaV$;i=ixmnP32gZ10fVr7Ak8ievybppr=~YX zB0^}+%sQyWB=Ty|wPF+^KzyIA>HgHsPw%uIwk|1E)!WqQJ)&X7-gs1$Q`__D;WOac zB@0shr~PsxF}anPsk&3$9{1X>os{*fWyopI;gylBk!svsiVU|^E<X;i5synuY#wPJ zX%r0id0N+6<G0tC<F{%_ll{QQEWu=cYO5Wm7OJxY%`6^5n1BIt?wrT;1|B`<Xr+&H zkU6$Q3q=na&BdBr;LihjvcPWzg%ItlO$Z>f){nyqR0y;EoE$dgPPh#1j{y%Ufq;xT zLM_>(sZt{CS3nkThDM|EFi5%PjxNjg1pmoYUh^7w2hsyYEH9Ad<<`TPNO7GY^jRo+ z2-u{$LsZ>eD60{P(a?ot<23RTEMM=7?WNF2%(HTT_<p012JfpSw-Yl=@=vMuK2~C2 z!?2&BP>V&7kMlq6U8i%2Gef-%mpaE6j>%8R=R`w+NKrFZbSxUAz1nq-ia+EcnZIN~ zj(_K!%v~8;ZP&Tvh#fsrTZU;2-PHoJ3_Y@k={Nw$>K~lc*JfEL|I*V_sB|vSGP(Ph zXXOSyDBCfM-cPT3%V)J^FmzW1pozhLfg(p6tbL|5D0YU29a1*#4Z+#KmcgcWjO>O% zezVN~NzvFyOonrY?kACMugPbD_tNxZt^^F3Dx*n2YDI#YGn6%Ca{uUH*ZXtzYu@ho z9fr+`8Ba<R-@o+5e)6xrAH)61YiI7*W+UJsmrAeSj7%2RvRuMOy;8xO>Z(gtvU%p@ z8l&Z#R1I447K=#vXqKCnt^~&S4uU-&WeY#NZ~O3P;oN6&O6v0>QYi+EyC!k(E}xd@ zYv;seewnxsR-GO5=(#473xoglx|7sgGi`5ucKD90=8ikMHo`s@N1m6ud>Pkhu|IFD zC8EInI^|Q*B76OQ?cToT+baQmVXuFj!g2PORGIlr-FK3#Gi2VNK(VLR#T6nBli}#f zhpb>fOE(+KmLOg1tN3Jxe+8{f)9SFj=)gNgP}}+GE)q_E&9&t~DMOZqHKW0?QFveJ zd~$_Y8-lBf|HVMPoi4q^2bP+WYSU$jZ>}bZz3Waw?PT{QHu+P0V)r7zTg^$0<qf3A ztK@x=AVsins7r19ME1c~yHwGhs$M3~=qT;6E7PF&6N0tkmucYh*1olfG<SDDIp!#F z9rdTH;sJ~lx|x1>EoibrSD}i;WCEaOfCiQ>2D4*R$8-RW2;<mrXL5xCbWs-9#1vUj z;H>MWxTM`4nzCh3EtLuI)pbnW?RPVX)B+~KBSX^3{gB7($WtR?Fl$%LiuG8VE`pZK z)p5R!{^?_s(o<Y!n0*D->p7OZ-yERJ5_FKM1RUyL`@IM&Ya=WujQH>?|E-?kZU@h~ zl@-;D1wKyav}dDDqBAWfL7?mDOrsCM{je4>Y^%(bAWqa!@$nVFNtiS<<OI9^wnU98 z7q8gqgIyP5hxl7iHtuZ`A%Zyaa&?u%na4`Dv{TJ6@mhG|AcKH&CF)8+5OmYbhyRwi zX7vj^oO5_HV|qca<3i0#tRt^c-OnOW5YRlw0KntFCUYtpa(X*_G!<jsfo-an4PK1D zS70XS5+?fC`EJ^f8LY?6?3`Lzg5Reh$Yi~A77K!C21@cb4LG`UDwgEPPAAMx8xsYg zRPBU|q%ZHQ(VmuN@Vw4zfc5thH%h+Srlb3KAup1t9wk@M5p8y>h~(5VE&^^v^M-d3 zu!0Qw|Ez@GXltm^x(Y+?@*E^UZUl*zU;K|IX!HG`n!TskuW`V^iddi%Exo0>AYBx4 zD(FC{%F3x8JdcGkbb=}YMyZIMQ6CjuW2)-32|lMJ6>Zk%0MY&jOEF*vOCLeUStR}v za)^+TF_Om7Qv}#1Wpg{g-o8GB<XCC>87NZtKRrgDURJVn^L-Qe;42-(;myf4_``E! zUl?s|l`e2J&5|y8kQEfw|5|W0JmXeR@N>F?wWW>`nJ8%*=tT<uuFZfvjf^RSB(*F} zc=$#vYyD|iq3tRYv2$`fTiH`n=BuA!vG**ZV_zHA{CdCUs<(g<tjpqRddUSMkYque z(9rH(!r|~Rg=+AUqz}7~yCm8*=6=c26v>H82a<dN53Kq?y~iMi=E(i!f>m#!!g?F+ zNi*~HTJ71P&Bdonzcs`{v^ea2Eb(kS_rfX&I!|4&f21I!Kxs6IBKY3B(ll*az(srI zWRD8{jYRyvY`Jamd(fqsy)H3EA`hu$B!!yHQ0P4m<l2-3@vRK;Pv+R?O?<}?$3vda zVt3OPlrw(p5#2V})@0u9%iApk=O&fE*~!nk;e%hbYZ?{<&7GW<Ug1B`Wpo_9&kFc@ zVCfEtmPfKAidd#?)q?Hx$}N1{bYm}GuHdUdPV|h$XdZtW0Nrgp8m@6RO#g}zsTr2J zUOXI=Ez{Zk!J55Zzc*_9S%iP+kt)q8Bqr4<gKD=5DPwrBi)P(8*)!AGs|!XjCly;M zojfy}TR6LTwaifxS8%$0LC<$_Q_5mBHix%f@eeb)&`eNOzCUYWa5;En<NO`!#;2_C zbW<6(Z$2hf@S#!DK(mB7se#U3NPRc2`3ddzHAQf)deYQ#OkbG9TrR78Y>8;cc`yoc zI#0K{5H4U5Qv$+TKhDnx2eQjq8^DiVfeBjQolq&gKPo_(JOo}uHbf$ya?bFKl5BBm zSKp^geR0Rz%fef*3W@1qtU^w13`@<pmsGDC$8fSr%7R+NX;C{lx!rlwD<p15_V^Se zpw;a1gZJk(PBXEz=5kcq_b&ppYSnf9+UeyCzdyz3V6L;DrWu>KWCC6N_MD3KoIMG$ zh11AeKDBb(CB#bExmxlW&QEYr<4NrF_Kxq(Do=+DbFrUNQ)V#vPdq!j<{9_~{U+Dh z$WwJMc&(bEj#z7)xEoT$;-@jr{xmy2oU`P{wYY9-mWHT2Q)hBwiAi|)WTBW3QyhQa zWFwObi#zMe(U1EZV8KB{kcj@eX*hi)Ab;R1`Dt8I8ES*jFx{*^Q#Iz+_0~vXF6!8e zC^qA_7b|lVGqxGrLkuMRntB^xlM|+G){tgW57#N2mR|NWJ4Q3j94}ZBPCA)(%=j%% z1=dbw*!*^5k4fyXbV|T`KyF#XUt`7K?q7eozii}%Od7#lLvciH5IkOHC~r}ksS26e z4srw@vUJxG(%$;i={Z*c5)<(4u({EFwxy$C`I4@46+X=_=XV6Y*k|I<Q92Ws3$M2d z(A?{WXJ)5A!v0$7fbaW5!ZH3^E#NN+>PckCD*?3$pOxy_(7Ay<e)*%rA=@ZjFM8z2 zMDZ@ma>o{q>P~F?{EqJhTHed8*cb7WGiO^W($W&n>v$14Ew(;d2R_)k9XRS_>KGCJ zm?s=oSNETtb6ejPNIN0bZ7U1~BQU1BGfM6ZibVBdnVpZFrh9kcYimg1JUfY@_tE!h z(NW<S)46#Bd{*qH3pFp9ZI{D{GvjEygG7i}+rWknc28?=*yyO9dUPYztsvQp9xX_o zo=FLY_yCD9erO2gdwL&rV+`*$=3$a5=kY;mQf<Q&Vh|RX#4shmjfz_B8g`U;A^61I zS<G&ycS4ZK*KweKN01wP@^n1M88xZCVTvk{T^A$@bq@MkdnKIXY`$R*I8oC&YV{`9 zO=ph!y04g|+Ib>){S<gT;CeysP;vjSMpwcGH<mhh1b+;cR2?nvHI*?%9M=;!;f?!a zaQ{VO8{42r<;ohIcob5CguM_v-X_d^#)=d+4?gz=oZP@zvW@`|9Bk}Ly1IQtbsWof z9lnAAJ;M|ih$lK9-js23Vn?nh56DQS9%=+1zHsxB^6FfDYbtq$wdS6+n2Q{b+b3R5 z3)fQZ<GuJ)LYz(D*BtS%ur2lmtDN}tmaYPE_IU^wn@-}OkzC>EIg#93qgUM?in%O$ zNa|Ky<S0FScXhE?+9?R^nL{`vuYlZ%{eGc4Uyq@G1t-u)!HtApn>-DsJA6S3*dqpp zr*Q90zNZ@58JPwg^BL4q=MCigH8FR=4~$v6A%kW397*14fafMJ)wnV+#wJ$PbG-I` zY{k`vHBkk-x6=$!;xLn}WF*`AjL*@E(`(=OSSOhdk&c-P9XgKEdOp7g36Ei8>rP)+ zAeqQr3VHwqF+9FL4ASz#YpyxHZHn874Q#l6FvZI!eU|^ve@VJ}?SCI7ojmf)EYp)7 zHMpT2zr%C7_lA0kkm^Oo0`Ve;uqy#q8R^cU{OC;EJ2Or#z~V_roUag+$h?83Rj@U> z_B6sNds5{J5?%gF2Y9ZEgdiP9i0bA3CL_x!l;3&N{>}`F1tY5%iQVdV;LU}RVDHF4 zh55#M!wi1?5VhrsDyqd7DliR__@jw)7QlnYzP`B{F6b=1xU$s2fmf`nYqp2S-QkfP z{v~-v*ID*`FnHurq&rXs%uk)d&3A8^lu4Kwu*HTX<5}l!odZYd1KAu8z!}VUADhsk zfmy*Ac@NyXpeK+Dk$DlCpnFO9i4bviO3|r%9czHJ&D(xL=J@%l*U!Bx2l_8(J8mNr zwSCaNzs(gZ#}lpDgebuAz78Xe8-756tE-b0b?vuHvOAAn@iJVH_noIWmUutQYBC)M z6sjN*(q51cT$s((D@b0TDT$l;{wCv9d<HqcbAA{HSc5gGoX|zyw`b{E6JcquoaVJE zA1^z`TCm;vnT(+W+^C3!^b%Sy--XK;1l`X|DX96g>jx+neaU8rT)Xc{zEB})=mCx{ zTJnNyX=;8MLH!AxSSj$eIvaG9m*{2vyrUxL!cPq8WQ4_MuoXVbM?(f(P84(;0;8Qu zF|QDMrGqew`9+93l~O_b_m#8Qqr=N>PSE{S{gVW|OVO@6q*x6%i!nlap1DSRFJq#Z zi~_lyt9X186|^1H&|4o|hExGEN}$n=sv=$W(WtBIFTm&gB*;=1i>&19zjRh~m$W+A zwYRO=PHPvU!^GK>sxL!ybei;q%zW^^A;;NAe~II30i;8bxq`AK@0u?@V9o*$nv?rk z_JI${_^zHQ4|W-eQ6$Dol4nO-4_vMki0ocG$M|lOnDjIp%#ES{`{fI;nM5<^4!?sx zEy30UNo{qOwS1xo@bl6Kq$yW)(km&HhQ|riYyD8?p(5qG7~Cd(bu#IP5M_UROG{tE zGe(L;Jp<72b-|TfSgrf_{dB$}WX2TUYKCLeza<YwLwUP6!WHb>A%O?HTm2=8VsMP? zxgYJJ(*8lW*wimXx4Lr?E0#`z9eqGr?Q_dFAzcwO*%z}F$7g;v;YUJqBJ~V-O}Ym( z^Q{C$->FQyCs#XN3`HJYg*5QIE08uFWPG&SL|nthj4Ys1X6ia8w$Q!E?p|kj1F<Kg zLAXlinzkyRD><d@QC^x^Nn*>4z-bxD$z!m5Ap!ezvk*;DH3WIC*W-75aC=31r2&sL zW@hxnfIMb@HUf}e&^?k(S5-glWm-7&kSF?M2mQu@l~@@FANr=4<p%cq*}UGYjw49) zbd-L6469A|`ar+yqixMb%d4v@zx|a?a2b(PCDy~7^nDymGNs(R*59aH^P<99wSNsF zY41P!CY?uUdM){LJ@G{2Hur}-0@7;>OtG=OUEW{X5QlRUfyH(4ybKC`z6kz`p6MJ< zP!lt-n_sW%)kfn+ZsKXN6wUXN7gQ)?F*af)ZnL9XZMKaV0eh1R#a!9wp`Q{~s_{j_ zpWoHMFBI#Vs1_08{jq8$O0L)+b#Mk@?faC!!Tu+lfH?MpHw%b#FH@D%IL`7aZ~jbA zF0nM@vFvd~oqE~_(Ax??zLwXL(94QW#ri+^hw|MgJ8tSK$%F58v2*eLu6j909^n6I zTkO20_b8Wt>O=0-JJk%E3R7&NK>R_Q`o{o7zREk$>UX-xItRnIA#uyzgkdC3yIl{F z_9nY?kXM&)BOty+W}3*iCBZm@f~fKiiH+R?J*E&kb<-=`TIyXQBEIfidv1h5dcjDi z;xYBX+u8lvIkXbLA=|hpE{{Xv14i7#|EA7!b0o%g)xbna-lNAuvr3DNr>a-(qQb7l z;!qzOu*c~q1~Rnw1oG%5EX-El-<nr+nw8|2ItHEJdzj@0wtk-V?D2TW(^aTjIP~#G zvOdkmqGV|$9&xzZuIJPE9$%h`(0gPv2>tO1p=Y9Wy&9e^v*EQ@*o76}4$z}pvjqz% zfcmnMaocr$QhCe9V)y!!%!s`xeH8<R4jyjWkYdP#w4`^uaN*Oc*N^EL<iscWQY&;$ zlKY&55StOYHOJe1RgMn{Z##EG82i5B9g;1|%1lYjC9J*Lh3(NwZU+ms)ssod@x*q? z>Y)T%jwaA4`!h7VrQS6kHAbnDe)p$Eh<HP#&KQ0|Ks*e6<dZo^<U=73e9Q+4dJFEU z+~FgQA`8vMU)%_g{CxZ$5fU43&W1kZacr`4p98Kyo=TqI`2+95r1l=jkMAV3KO2|3 zS9T~|u`ta7Zi1)tfUi5gl$TmTUd^uJqG0DzU#beGSXOYsoTkS<-!rgk$C_(>TWjv2 z{L`%-eH7E!Srk?gK2>vPEk!0W5SMjKJVL`8UsKwJ5^DQ!C)ehsM1<H@QMgot|KPu( z!ns@~y}nc}H^imL@rf5isd*o4Iyw&!!UA&rr;-{juiqap9B)!?4Mbu(3_)*&hT;k5 z)7k9lGBF=Jwz!ulAdirGG>d|^TsbP!8{KTalrd;uSzNN=O_we)oBm!0dEO@x{iZKD z%fLEif18o^p2TKY&YEi!M)c%`m*&!#&UgKYYx8$ZiZKzn&3nIKC*bHI-?c>9rip-o zb9hr>`JIYSVR_QNSC%~6m|@+|;b^_lL;0frbOTJL{4PBI17>kPEq#IkSbo_X*;})P zg{xUU0r$i=)8*I1ip^wv4mMX+)>JM@aB6_sJOKK_HHE70z$%)82DWc=ly&7lzYCBO z>L;$?VBIk>z>I7)X-@ZjR5-*wW7qkVe^z*+bpUdhWR{a|N*u5=O`WIW2~#CY{S(&J zfzFH712T4zS!M6Ivi0E}cpe#i58?RmIAB8Hw`lfZ9oHajoKf*^-HiXWjLD)m!=R7W zVYzYR*5-81-X8o=y@|bgjCucfo{-^w^hx)~>-1tXV%>kbR81;h>R?K5e->+Yfa$}G z3^=KJr@I9g?~Nn&GaozJz?tgaEvi)UYb-9DOD1lea3j>d*C}CI_9~?a_MS=jtQufu zTq~*}Tag@66)Jdl3gO<z<SP^|T9Q1knuMc~_#h=OrB$0oSo`t`ls4O|={i)6<>%Sn zSYw@#`#e{DM`=F0J)YRO(L@xgcz4sk>CLZ&JjGggh~_^02yICSuu}Ezv)wBMTL$VF z)3-*sH!<gH?jmv+n<`jI+ePv(y`k&Aw!XU&HFc^zMkI)(GGC!?8o!2`#?`0bjqFPc zYTelUXMVtaW7@mRpO3_VM{RZoZ;7){9S`*Q`(9h_=^1kl-08_fXAznt{B2d2sS}%a z6{XmxX5RIi(Wmodc&zhGi=iIR`q}dqW5hMH5Y`lRZN+!#7Jm;dx1^;B7t<@mT|U3- zePhdl{)8GHWoMN<B+xmC)?-D#jV^4JWRocQyrYrRdYIf>eP>Y^yh&0W8vt0<0?|HN zBJD_JnrJ_m^WgjJh?ISoXgAgfl39Etth<Vb<XjX-DAMEM&uR@OQ~d0VeZrfT^_rrH z_w9kqRd$B-web<zr=eTcad7aZ-I!T2<U<y}){j<Pj;E{eq$g|c1lz^2v3vKWrWk3@ z9?~L}VvpYvZ$zro+tiq4;{P1leMEa+uS?w49~ps<1ogM3^)+X06Bha~RWM9sI973S zal+d7K!UUX{GO?p1h9#;atl9DOz?O;FFd{8xPg7>ML;DrI21TCVpLNot&oMS!e{SH zt+)sLQ7&(fM~c-<4M@iJpz0CXuCAXD*Is0Zm2`5%9;JFVx%kE^Ho!wNM6O{2vL~$G z5#rMe>j^`ni28hCkkJnp_8kG-%=|a$PK2f?T{Nq@|MR&L=F6rQ`DYGy+q?Gl*|)7e zY9iDVsP@(C<MVV`(YYt3V>Fyk3=HBH<5gK>*$T(PKB0B58`SSKj{KL+8}BXsWAHXE zHKBiPPue+JTd9O1xFOQ}P5Q`_%B(())pZeXgdcfgdvBmgj1+r6#{Lt|uyE*H`;Zq# zx4c~sx+L&^(iDl_^i~lNbeRvbqsX2POm{AztPS%FD<#a>bPJ}CJVjaAn@>FbtF3Yt z6E8bYI-%1vZ>E`9H9GepeCW^!i8BM~BV0qbaT%ue(U`ui)Eo2OV~ba-kX{QuW7&#q z)Vhx=#RINl?CWu_*<qL%t?$gREVkZv?RvBOiR%ILD(ggGBK*@vpH?qN94<ZJuDLSk z$nin*VgyHcd|X<(6SdE6!i$DLvwUNzWRzNj@+ArDO1oY*Rz2J~_<gDYcwhVv58b$C z<MY@TPAKcO8VY<}E%V5yNU6OmeUt%tzW34Aq9q1uCg8@#ju%S2{^~SrVU%`?4zOPg zrs9J_Yibx#i>~lJg;e97Owy;t9d14bbg!*R1jkb(KQ1`VH&JF~KBiDRqw=*_$+ra_ zuD#aY%P=N0p_K|oBug(hbnrjeV=?mDpI}1%O?SjDC+!DVIr+c+>;=@~zn)Gql`5ai z`#lSLwK*JRUp`e=xjLesS^4TI#VK}qEbW>R=8M_8vwhWPChjp7^0WZ&`oiAn!beJL zw~135f!0RhwvGjDN?7lkv(DbmD}rm*Y(6Ec7~ZWjs#EaZ_<WAg9?z!Zq<rCrsFfU7 z=S8odKM3O~cr{l^uAN(fC}O`^o1af@@uK}VrANuPL@eFHP>!rJ#R%y7R;zXm)ayhX z=t-6+`V2p8u4b;}37D>CUiNZ3?jpoX^{7pwX2pBz;YpaJVWRz;E7CZ5I3%H2A$vx- zJou`Zi{MO6I6K><fap#zjJjv4gRN5RGtYFcr<R-5y7^m<dY|X(5n}eS%k74k^(mv# z!&b-D8VbS2b*aVy*8D}#WtPJaivz;9bfi{-km2XE_jJ+G=5zW;96x8;Q3S7EfM|)o zq|YH9e$%S4eSiN4({2`AZMVRKYi}rcE8W-J=Q#!4lJQax6O#^2%J_(a+LTe7pVTF~ zMGNkQxZ;KUVh>J^Q!l2Zr3d=r;A!#!jF^?7VAqOJqhr0!sk$2Y%G}V|v`47SgiaP% z8=NWWz$$b}qjztC$6BbPRJ_s4WM}fEuzYuY2ftPCo=3yZEOr`!NveS->10i#J}<|# z<7ySu)}Cqp4!9QZ{GdkxQ$%&Bko`X_z-<*8=SCMH{`EEaM!!Tquy<YEf9<x+T6N92 zh>@vtsX0654|v?TMi{F2O_f4<q>VbG>Py_%j`ephN>~tzrt;l82m5q%-Zm4=DBfux z<mV>(j1jD29OqZ+-M{qtq3=o7tJno!0m&<4em12oJ!6`=!*)kCT%7&&-vgy^5|v0M z@A)R{aLm3Rp?+DMv;VE^3Bpq>s;(dGew8W%YdlMh=`!B4;n$N=YyFnVT}|wPRh53B zJDw*XBCFqQ2r2H-KjKO~e!n>ORvq(~<krCFhi@A2qb6`5Ug2)p4(-8Ip+C>=_#3}r zNm)?&wC2GoBN8sQ08l8h?Ckgoc35x|Ja)HP(k1ncN{Lyb@dlQHb5_9#rkPBg3Ue{D z4wpXIg?naC_z8Kz)7<*c*Dozdo1YF=7b^K&evdDWskmBCGHt43D59cj+28FzySw2) zN0z5Yd9N^YW=OnoVH)p*8jv}#c-Bvd=bO2BLyPlS6~+q+Pz0TOVhWLJ|4`BHx0RRa zgYzlcb3+J7b$<*)Q%l`7bx(ouxP9AN+iEwov}L0myEk!fO1irc$VphOmA*Gt8eord zG%J=xQjksaNtnS{na{|GinX-}v#p%LpJqcb6`?BkQZ#s`DnJCwqrvLq@#k&(*)HrQ zi4P_Fw+xD9=#Ix97jzE*h5cjCD-0ik4b1{umdJUG+dHMp3X34<;VLe7&Y(6|K4XhR zCQ+*WUnEZf?+DqDJn-6)y;-fk+4okW&(}z<>Q1*#BsM&}J){knaQ4f&m2l-GFSU~c zvl3QMbP2D|t-K%oEWRXyc>2+^{>TxHlQT}Mj&nd)JIx^n=_jqx)#M{MH-cOAX;J>1 zSxiADGWveW9kkr#0cO}Pk<YbpX;*s#=I!oANZo*e@eM9)LzU`PoaRC&EG{afbEnwZ zrapBZndC#!D=4}~r%C`qbxAP-hKkPHJMK>n>a8ep+5kx{D^Bei5_E2D6^u1~bf5Nx zRMeW+3+_yb&3xvU>6(&tn2*<<`9w_b;m@dQNnW_I)e{eTHI?LGwt>w`1b1u*1|!dA z{VpZ5yF1(bNMIwsSnab&z%Ve^4ih<GZSL(XF!Bsf+x+}!U{Nq^I~NnH(B1>~A9Pm& z+~Z8qj;RyG0)uH?V?$=JgO6k(XVb5QD%5lUTa#0&D06@KHBBD*<+ZX_V4<hM3a%Bu zaSwer?!|_-!oHvBob;+e7&dCkF(<kh{`qeWey~zls4-UirV#B3sx4+jgxBv3hvvhd zKlaG)zHjWJR8mrcHJFYR+ZK<~s)H5+J{2Pv?x0Faj<y2v9*F!mn5!Fkk=NLN+8<YT zRTvkV=U@t|H4hM?pL@K(qQrl^OMY&0Z5_6J@TC2~*oMVQHHk1({GGFEK`mcLw-(Nz zCYd>O#NX=#U`csbAg9dpA6iH2JQ(5=AJZ-7gz>3vgGv7+pCVhDQ@iPn83MrCB3c#< z{><XjJ<yrkgDXb-^o?9B@LKG$sajDaEb<vAT&*3?&Jjmgi&0QUiy->#>ZI%R#KvWS z>Xyk#ay!MS(Dk~d);eXM`&RcdQJ(vZ;*Vd75(S%dWm6Y>hdRL<RP*Qgz>I<zS}LHn zQ3)!DBALfeLXO;`z+1@3Hc5CE^SIBHF%8%H1OsR($RBIY7T#HVXz8r79hET?2Y!^v zN(wNmH`5j0kdfGonpnPwO3Mh5XKiw$b|Powq(yK20zsmnNRkWW>#UXa`YBwY&6^FF z_1=`aXly}rS63RTTv{?TYqIO2iwd~6f~Kfn{re(}ZKwijYU%T7&j)8*xT=;#Y!H%s zh{p|w?1FW}O~2poXiwGKEvKB1f_}*t6#!j3dP8N;<ZJd3C%Io~E-9`nH_a-pU&0ZU z3%nmk9)P+prOc3jnoOvX-1;efi5aS=fB$7}MRKCSm8K^m`?oGX?Y+5KvY<WRJ@D^i zhy-~d5-duSFV_um;#RZ&9i_+LuWtPi*t`*6)7V7|i=Y0Hs_HP-TgyA=bmxDcg2}`y zK%RRa6`uQbbuX}r-Pgx73@KxOJzFquKQmugAz~)H*Co%+?`Zo+3Y3;!*52U`96DHy zEf|S9chnI6A<5U86AT}8j^wiA%+BI?oRC(a#V?of$Cx7Kj1n`fgk{Hv;<`oA{(Dx; z$#3pl_ZQYx1_K6wk3+t-NjlE-_@TVfjz<+oM_c!X;w)pvv#LavOp1`;doEMTKEo_K zpx{^R@qAQ9L<hCR9tDFR6kHVbpn<zQWDv>t{)uwVkP2GAKNLG4Gap)AKYdNNsi3m@ zHt%5`f;$108@LH#QzKQUi<lh!k5gi`@M&#q?+$-3$q))R>YZPD(c(@Xq&PPg!dapV z4&Sj-(*viQIWLK_G9P~XY2m$5dw_`z1c9Y4ICgCqM4wW~*<%a90a(m}4SdW2X)0gs zjry~3k>&{hrO5q&Mfu<jpSq!q)bMa7$D4oh+!Xxqd53+ls@|=$^y;i&zxYVR_Q1W* z!_wdOPjQ^5`{S*=&?lr9(vV)*X|$4!dli9I<Z`aNsm=htJ|~0#<MClxqNKs!WWPe$ zxcZ-2vYl$&Z`Po{R6*5%g$w-23z!Z#03o<-ZSqDDCNG17_u}B$At;zbR@&*|8Ek*c zS4$Z+cTLNL<9N5ZZWE_L|2|-GZbsS*7SPloVCE8AU=-_Y1<gc&RIrOoKxe_nEZMOr z7Vu3iB0bKTJ!*VMaBh#O*oW|G#&A%ZIOI8foG2J@eXUu}M1lK{LcnnfcviKC$^G%& z>wu!a{;ebMAg(NNh(h)XXIPkAfT`z}zf2ioN${4*cj_~sA<u_Nfk_W8F}H6y;+%A5 zVu6TO+BjG5d4+(bSJpO4$w$8}v~h<N+^mdLm@6RQ<IN@J5*$@B*&xW=Y%D>fm)Ts+ zG7`{l28=;~HXW*e5~R?7QM&reQcLrpEe*U>Zt0cNT+f=<W6@YXjL<`+l8nJl7f66- ze}%#?WCHIaFx`P=o1u!+s=*sI#d0Wc#O&?vtb%S(3abIBd=y#Usezn!s(Jbbhf-$6 zbLESSelT!w9N*Whad@~7WR5*1ogZ028`ZqZ9IW>e?QZ7HE&<HEJ^PUI>Z5dd$Si+J z;v|uyX&iJ|t!GcoD$MsvWEHq?g%L#~yz}?#-nI}wE}}s3wPjV-A*Ul>Hn!^-!jgrS z%!yNVP@Bl1W)eSix%N!}e@F0C)NCPF+WnN9yK3aPZEl#W2iJ#WCDE&mR{%C9j~U|T zL07;1EYrP7EIg?AdeYc}!NlQwOOy4NcP6y4hM1Ix;DNssd5PmY(<9otI)#~;i%k;u zqkKrp_+N^AuTImu;#G8yfT)X-q64$YEcf!@2d7Ju6g6{pw(JYvrRqe(fW|r&R<`cS z5GoSS`wJGj1iHxO$ZzJ^*sV@}dHKTm+bfRem#qQ>8BV>B;@V!c-;K!#WR<a*j00~i zh-McBf5~yr-h8?Ld1@-?+E;AWulzP^k1ki`=xxOzNj~s((Mi&>e)oUpl9e1zl_KBF zX(`&WZ9H`XvWiF{(FY}6pW`k{TNqi`S|8K5-9v@9hTPylYc&XWac4PErP(hWT=<W4 z+yCZ$X42hpl^coapoe|ENbk+~jfZsK5=cEPzeXCbEb6UPJWyePoxF{o{CgF{N&Fnl z1}NQ((5)rAUS1!yUY35T4E)>R3`y|J@p;{tEk7e?lm8aYP<^WKDfumQ^5<uUFZh9E z`y0R_6Uxux3f$EH<-Pnn`Bm=w*aJUN(fG^SbpPM%ii)fU?n#;?E8yhAzFs2T>K`v5 z!@4NEtL=m5x?lYC{?3SVwix50;cj8Wv}{F<4F10!Jw#aK73Gpq_o*8jCfT05#Av_3 zbjlan?UUB>Dp6_TK6jJ5S6i$V!F<jC27@Bc$f4c2V$Q@O4}*h)69q9shZX6*KTE38 z;T{f^$>Aa`L`96cd{1xH4pX;t@%#EwZF#>L>X%<ng;P*aASA_MRUY&*NX(7M-8~B0 z0-~`UCM4F1*%&oPo-FFC7~YYk6qiI>tFYMCvX$Ev-gWuv=CBe@$!F%$<1>3KF%^cr z;crOGQzO;HC_)Ok`N07SPh5O-X6AT+`uL%V-H0*p34tyZm}>pPoyp*IW#P%d?Hl9Z zdl2#1i7r&%x9!oJ40mv$zyAeWoXMfAL6zn|l`HPSI^mOkeDG8J=+E=xU%$R0QjZsB zA51o@S(~wnr4QMQTFXCCJcMfn9!}OeFG)-7{W5E)Y&srD>Ir`{ymbe#0Rd5n?n))L z^P_vyrF_kNk5v9dm{1_`1#E>=6Zei<@5N@cg@tLs%46l5>C9X9h&H|HL>EFLFsZjJ zGpZiERQ|Ycc(}bhaGCwKHRjKAII2ASsTsUs9XOs@Z9;71FIy{`u$nxb7Td00J6$)c z{Qa_QE(9yR^q21k*-40t@6YcFO5r}<C_kx`U1n4_?X&Z1GG-F)biDcN*A>)Y=YZ?H zV#(N(9<AAW?^!97l%Q+LHkRgbn1B3MiF2|3#Q2-E3br&_es9_ze)rv<KmSv|>$m90 z<Mz<k7hjLq1EYPtL{iZrf3oAo(f8cGc}xZbjA?8DAGK7|YAt{pPJk-oSxPMvw@~cQ zj5s+z(2pbP(>Z*#q+sb@NKDsNKewrlBVlZn@w0Ic#iGL0#>N7+s{575@YRhClszk4 zeS<ySE6oU<PTMK%ygQX8fsll#Jrn<T+|j8EW{uIu+bvB?J0E>B#EyQK1JkSx;kc2- zZ@hQ6A=d2Z<4BZG!;+TFVH6<J`edt5mZ*Ahfd=AMZ-&O^u(#^z{1>ORL4y}}ZRd!| zm0}d(GxlZ-LXPMB(}m)@+xZ`MIds}o-e2y+Dl{wX1v^V=n!tCOtJsfQtQKb<B4c~q z6xI9nuWh}lae9nGM<f>9<&u`%7jk=uM%4BXUH-7@{XzKKs!W?_#;O0OG6XU7*`}st zI7bap8e8s(BU63{2v6>{ZES1xBV3F19YlKCeFwU}P8}H@C!b;MZpTf#xh!zdh$FKQ zez}1kWsB1b!xptPbg3%N8CciT7;Lq+D(bYAEk~C&=^p^s1oLef$-vs7os{2=;Sf@Y zBk<tiUY|E2pi!#r^3{BmxGF@M@HYgja1R^WJK$b8#vcbylOA-9H)Df`WaCJ>2MGd4 z2AVry3_mh?0R8CGE_WnnOrZ#JB(aNcq%6^w=@iaG#CjtE*|n+KJ?>OmC}Yctk}Vb# zqx8xcOt)pV+v~a86q5bZm71=h=RT3Xq0?t7&|pSbw!UD<9vyR=DVGTpEDE^UaNAjN zPA?^`)jw0e5YWw0X`>DD(FQxn|BB??B4-c=%$jUI4uJWBhT_&krq1uR{IsjWIC+-( zJRYf90Lg2zkKSJvWE4Rf>~HF6)h{NRO2Mo{TrNp4qXl<Ih3@&LPQYrWkoVJ)rMS9| zEbG;9LR50OW?FZH`QFn~W?bY1Yx^{iKPLRJH=f4~_MQp4M`M58BV@Xk$-RU2Rr<fu z)17?H2G=4jL*|yPMHNwEwi395#o)x%YYnw>D9{!V_t9H#@3@&d{Zp(4eM83aA(!qW z&!fXFn~Xr|(SFD4x_$S4GBj338C_IB3h#gWdRVnU^`-Z>a#zZ<M19c_%Z*<4MQgKH zA1W$bJ$!1{#OV~`s=pKWo;nW#P+{)KedVq*W!K|OVgrTu733+Jo_3$1_5Sl~IWO$? zmsWA-5#!(a81eo!#dJ^MGdu7|@!76tX6M^lA*F;=Db##uv@DB_A6f&|tZ-etMzQ|e z3A_65t-|;1wtXlf*t!ZMJVcf&8>rbOMVn@8`v5q-k2>Jb(-(0`Q<P>Y30~70j~tvw zwiXnfoQmy~GekC9Rk+)04#rhbs2)l*!py8UQ9deHTQr3barx@-r=NYd?&-Fq)0T&0 z(=RQ8Akn)p&ROsNwa9i{2@5&#ZH{;ZkqHR}mP;>^uJgJ6QH1jVCH}<*o>|R~^;rAR z5w)&CC(ay^`zU85oAFT92a{S+aU)U^r%p5DC?R$4k15<z>4N>qv&r2lquP5jkzcHR z%%6tqzPq$IPI=VigvTrVxMGr!c|G{x&hL6<nMC*1!kX7A7O`8v$e;VlBy*mk5Hqn> z5x68Xa@k@-rk6|LE3{*I()fSmMxNm%;&7!ows9+<Huvz-MtoAiqI;xZUPa!iXES43 z+x5e)*@Zy>f}LsVoCK>>+KmeoS;Vw*_gfN_X1tG^;M2*pKJoNY^Jp(rJ=I2*W~4&* z8MX-zK`+AkZ|}_+LFeu>l^X(?C;&P62fF<lDK0bPB+}!<`NYS}*{4^quF|M@kqX~b zg)NS4^0WAYZCAvs9{TwXR{c;r|AQyiV#(Hc?I0``wBZ4=H6n-gcks{H`d^$hT4wFI zmW5sZdn4EH;^9iEKvEO^zoF;Nd(S{TEbwri(v;1)Juo-$$rlIL+~uA#TRKhfianJL znYznmbu9Y<eN+-$CI}5dFA#x~9le;#L$T(=zmy^AS535Yn^h1yPHv5vRQ~uu_=%_3 zjcKrRWDbAv4GOGm2YVYMM<c(R@W#`H6pKd*iC!Xw%OS<LiNgsZDLuy$ha-eNhma5( zTSaPzn@L2r10?`9c;O4VxO!T`CdU1^+`a(;bb-U>^NnfutPg?KxJK-+BjUJ)D;%{< zEj9tMwJM&4HsH0j9WnNqqD~=zA(6;)S=c1Q4op-`3sH{PY{rJ#qaP^B=Qs7Yf5}o~ zeH>y=GF#Y&p(ADh%VcF+<6vcdZ(w*!zGxcfA-D<xi%nSfto7#RW}b{9%M2^iGXgzV zJU+t+d;|9hz-8CF7|qx=u;}9<ebDN?eIt_F9=$fOrdw0*&3jvrWM)9<2;0EGzzc~k zDVHsd7?fv|&UGSEod%y}RBBaeF%f#@|EVt|I7l{-cgOQ%@ay1aGJUbXoA&eU-fV;W zdi9f@_=a<%)?dOj_Y-Xb4Hry`EkcbE;G}(VX1T*EO>Tusegn5*-p>S|%&uz-2})FI zekUb}kP2p)y8}yCmPXPazpcI9A@aCySj0n@iuT@SEW1K%y0kz3cNhhw#OT<{PH0uG z(BjJT<43UO2^5t+#eJk;V|wA0_e%0=Z)2A6Kw-+&%D~SOTng9JpO^`8wI<Ul9mQQf zUiL;*2QEdyT@<_4qAoyY+=HFJtonCtT&U%^(-Xnztv0b@I}s1ZP%!EAyNN((fBR|J zJIQzE#LV72-b5;rorpbZN*>h?R_?Z`w2KJeZ<N7`gCiGmTb03jyXSy*f9p=AO-&pM zeP;C|=l9zF4^wXe*5n)Yk7KuBfg)joAR*mgA<}|$DhSBPDFepFrlNExD9w<LF}h)( zQc6u)TA46v;23Pcfc@|Bec#{z_44vkdG_qCbMA9K=X1{S^L`Votc-3Cf8QVeD_V40 zl@JN;u&QSr<=F{M;WtA5Qz|>N?CABT^eAvFuaTFQ_;?-E1k0X$%7Twg<=&K#sW7K4 zHF?uA*)0~=(v^G~w3au3X)KeZXP4qS(<UcFHbvkAT2!Bw9#>g$?CbHBxGZ&BSxHIT z17zard2|*C<-L}hukKYY*`mF}t~YCDmMG3RNnF*kSZvPw7JkE7^-NAD5gx?Hd`MUq zU1tJ!<(15?NA@MO_;HTs&wmPXK}Va?P5Tzj`e6Parfps(a`Ecfxg~|0np_DI<)g-Q z2KP1^j)zEv?e6F`7Co8miNmM44<`zu;N6ECQozl4`@ift0hv#~B+7ok94_{=o%{Nq z*5AcVzgO&iIta2e4E0Hr2|J6d-n^-!R&R_;_r}?ul)5|9a0B!IYhbfG8XBtPQ%^dj zk;$76uik4enERa4lWEqEk7GUb$ba{ZX8uy`hc?=Q83y{O>=M~!y3&s9i|y!tNfqMU zHRy1qw_lqhW28wQIsf?}?^xbifr#S3rKc7+g>0ol>3h<=veL$xe-6$(3GIT+pv(RP z6L?vMv&jXha<9M-ekbYVMK+1;vszEG1$6#$`9}Ts4A<^6AlXbZB2i5;w0NZT;ok(7 z{ye{%*MyCd=Yw~CxpnH=y#os(Dp!xvSbFIJD_IGUPa`!X0uMd0-*si?S=WvkS2M-z z`qhfonb)zeB+m#QUT*|*eFgt7e?_D4;`H@?0i5>oqko1JgoC@Bdau&8%Cn0Ky?Z#? z?eDT|CARPgmW#zEx0ow&N{O>x+URTd^@YFh!mn-@?%Xa8&a<oydFd;^B~*c*cy0Zb zwUoCm?>eS3hV{y_FB5PFJr9U?C2kKA@%DDGTVJm{XlXvR3yCz#?vm@+;!|&Vt?GY0 znV`niC|z#a>T4xeTK7zcZ|{iu;?!*kfs?z&<qH<P$CjHu7Z_4`zgWJd{mVCrT{I|c z*IACQ@p@sJe7gHj+J~pJ@!c28$8XCRBd0oNMZu>`&h5IUj)h1``Jh`pw|Mc+ZzCZ2 z>&-{r+`f-QuiHNXt9a?ZFNnM_9ipM3ZvF>QP4~h@D&Pkx56&xgWd}dx*x$?=g2OI% zlI_#2FlEZ@ckz=`u+*leZD;(|TTaK0Or1N$!onW17N5<tX=zW&>>=xay=QfA>WjpD z8$HQRPtpzI{B@qtu9Ixw%u}?>k9`!ryTtqE<O!}qzM8t{<@HMJ8M#9Be;BB;x#C`~ z42y^mDcLC&iV^+ao39?{^^bL~h5J#oUu<*qOVk$X%LS+Y9g;D=7TJ~?>p!Ivyh|22 zzKa7DM;=|N%d5}aApV?;o`aF&Z@tt1=TGrR`^34Psw$XhP7uQo0bcaz6-!~WnbjW| zqUlKlSH(2X%`m?78$UIuzw!pi#Q9xLE88)tPXZUEv^p#P90XYJ^KT(ieFnNL5ABum z?i!lel|4Ln<|Z<P_*&9zH#`u&3lo@yOP(l_qW4UH@xE`9tqq%bF}~_Cob?<PaWwJS z)U^gPVX1G&W}esx?W$S<)+qwen@wuO8!?{3i!w=aqd^DJiQk--VtjvmT=AdmUW$tJ zjl7xfP#+>?XlG;9zT+~%(hje7kF3t5k)L1u?8_C%!efX<_KO2*TmPOcPQu1#|9PT) z<bG}0$ZbQ`U6%j-99l2Z;qdTYr<C!Jif^yZ>91u)XE{cMl;s-s8NLh2=-Dt5h}8UZ zW<U&0p3^>;AP>gXF%`A*FGx*f^E^;fy-Q0=Jv|29+BJWAexARv?31&y)fwIPYB)g) zoYb4S9ro10@0{U^ll&q^Of@ErIq~b4FKQS!0HK|XT9y_^lX-a~IGSCKf|eETjU)0d z!Qb^$cZ^C04<}9I9T8K<rJyEF?K(V4!DtGMfXcJ>0Ioy>lh*V>AaX;p(?|+-|5}le z-&F1!rY#UavopI;uufag2YpPn*%_NR7p%V;bR=HdX(OQOOG<j?SllT2NV2~y_|-Th z<MN`5Ha>2RkW&f<Jy5~#sC3H+8A!zlsCFBbx-D<5HM4d}OQ8E3uC=)&U_BMDT4@Uz zvQ&&h(KdZELoyN?c$<?HLBQD|_B7@<I7shz*>F00p4!2}eMBAo4`>fo1*}`(2Io>| zy_F(|ZhP9>6W15bRv3>3-}sKt%14F}$G@LQc@)MKm&hxi2NPLq)+`9tCva_>GyOF; zLa2a#WRoHv8Pt6TEdDhXHvLNTgP=)QuIV#X)8ehV=Nv_MYSJjF2j7tW7C`XXx>vQ_ zC|$g(<sA=y>Nul5ZB6Eb_+v<B)T4uEav(rJe&L)KH|)HDaTT|0mE5n_uAkhg2>6h5 z&eU8<md0V*J?uJfl}i%aZR6lJU#I9V#=q13PfXRlSTO(4<=J>)Ni9zWjvB78zti=z zuj7T(=u^6%K@pd8ioOyZf&YGOrFG0?T@sq_d_gdBS^K==93KUdG?A0SQ1ofG__Y+0 z14aG_$tbBCECJDNKSxZdGz%I%&N}$FR9BjXZ@z@hpbs3IC>iEaj+FGeTbB^tiXM4) zkGLMaoaKTb^HBf~Z9zV=jB9yV+n-ePEiUA-Lx&913$Zx>;OF_(v;>WYb;Xf2{x|Y^ zJ^O;lXx;P@1^}HL`J9Eum*n*ljA>w^yUAv3@z`jEY@$4rXY@|}4*kzYpL`?&|L{JG z`zaWD@aB}RrQ1*hz`Uwz8}eezF0qTmRZWZ(BkBMjDpyS@vhR8FyR^RJ^wBjFPXiiO zqy{L)lk>{G7oJ7?kE;$4fLs>0|8G`i0kEL!>Wp1^{xGgg#bY=VyK@j$;7kJdM<0k{ zWgB#Bql|#4m`EvFTHJXd7kXuT4II!@J&h<D)1S6xX)8xhiX_$};f{wWj|E5p|H}o~ z1l^{^iSd{CjtnHrYiOW2_Tw?Lv%2V<wSS<hKV^6#duT3I+Tndm9pD#_Dn`B82?<_3 z>33yi*fjN=PBKV>OjU-TUiCOY#|VxW`q}^z@^L07D``-3SGC2<k;TZ2Xm`C7&zn1C zi*A0YstWMm%FmN!P@AhcBP2f`ANuU<!@^OUQJgGI7VoaXqp_%iF1D_X{AfLD+4Ygr z<s04q!{_176nXt6=9-2$+5kJSTI5*1pnO{YerC<&*$-18F(}7?*p>R+(Q~oifINrq z!hqL?J48cxOK$JPa#`(fAdOON2`b?9g4|c7srv@~?^}N=y8k`6UFa)_^A$f{)kOo$ z9Dos0U!PI$`HPc{mp2pH=Hg>dAp=$p2Jt)0gL&`$vE@sEm)gg5Eam4Z(sW0e1<p~+ zTFvDS;N3tB^MS$3=DNyaqRS!CZ=FsH&~&8FYtk<YMHy0sWU6k;^PS)X+kNRZe1FzK z%5{V>$OF^A=yam*O*YU_MJjSppZhOO^!GS?b2urYqG2W1K33LQWcuvZqx`L96Yr^b z6YUBMG9|_F(rc?j6oCF!dAOY@$~dD@m~>~3M_PLkvGm$~D5XQ6Wrwg5KG?=qs+eRC zTaS8K^!V0<@dQQ<<?V_>f%H|MoyC+XzyXKUW(mJI(DAcXM$LcovD=4JtW@4V0mVXk z`X`$|#X;*$={73z9yV1UOBk-1+H()iG`p;z{u~e|njs)LQJ1ZSW3o&5QNEwiZn7y# z9%vfTNljMC=x_OB$fDu7nm6!HwR(BQ6>><1Zh}{N8x-k5lAlfeg&$vY!I_M4D?<AL zpK`0Tu4?YU_Lss8X#K^Sl4e6cmRDAwz!GfF4!{oQ4w$zE!sT^`;vm;E_IV?c_`sb- zDK8?1{}wXPy6?qYwQwvOj~J883LNZ74T=?hoTHNmBIvQFKSaYqwcXksa|V01*N)~l z!$AJ>jp~<`F9FHiT<gA0$J!LJhYaP3`fyj^dH!=X!-`=q_X#?P7iJsbOv+O4=26mB zFm0BjSPhu(?4djF&zpX25%2A~y}G4_bozPCDUU@amyCmcYWQ(>NC@3Hzn0#~zyz;P z(q_CHwdycE0TAwXm*bf8<T+@ebg(#zOB7Z#F}te2G7sbdBs||>DKTXh@?ZQwi<Ua4 zH5B2_d3*k}cAe1c-dw=urUa`c&4kc)vWFk0N6H@2d<IDX(+o-f9tovteaf@nv3LX_ zx#qCQ=tc>OwI!dw&(ZP(ZPN87U}OJLM{dFZIeuI?G^&lqQ1J<Gh*-zD4?&~sQf*0a z+ZYT<^n2IMPaw-t`#NwDH`{hF_uQkft8m%nIy<7UhjOPIiwMv{t=-xd_Gkc<5QWL2 z_QQKM!)f)z$t_pxR&z~lt*|`1sj2BzUDny#y>70xL3QdK=rfhX^CvQOl0TXXhh9<o zEWOqzk$$lT5LiO0g}?6qcmQ@kQ{KH}t3Cl1*y>ix1S7{_-zW;W-~6t4Pq3dibm2Qp z(H!}NTPaZyK2#!q%h=o1!jm_M&rma4JJ8u81vOc}x-~m{qo|*#)CJfOA=J+osVmK1 zRQHJAWYG}$iP(7Ykcm~SMBz$nlXBZ9|3={kLbz9Bd)@LJO?|xRDCS53wEhC%?=#o+ zs4GL5j~IEtRRshJKqSsyu(Gyxh>aFf5d-eklj|&Rw%F!qZ0o4FbA83j%9z<aH@<10 zBEze=hbG()Q8w>EbsP_UOn_ZOpUc;!mz?SB9LNKcIjPuUu`j8r-I!P@>C_pi+sAMT zzd3E_5uw|)R%+Y3U?y<Om^;alFG@iz;~*t*GRs~mg637%N{J|Yz|*!Fup?B%JtPL( za3Smun;}#+dV?u8L$#hB&+jF+W5j9hH*XcL%-=VVW<nj70AWUlL#<qI#{Vi{_SD&~ z`StwowSLO#_&2&F9lPKE6=W0oweVuX00qo;5xTQ8%DxtqmSLSGsF&XTaQ8w#$l|=4 zDQRfz{4_GSugqd?g`_6_X>f0>dRY*)ax(!BvC74NI@EZ2{mN90fzM6PW}%o5<Nc>d zCIy`9n2WHwsm00mRT$bo_etWMf_vPG*p|B~PmU1kNn9`8O%uERPe(|<-o1EQUiVaq zHvEGVU6J3Soy9p5JfH0SSPNoU8X3FU!$dKc8`sToCQ_!wB+!GI1;FJD3YlxTgDz<| zbF)U)2A2yP{3tMev4i6769B<TzjRny?D(6{&t6_DNmo&dB#h|>zVi<;EW8MqynE7n zn_iAT|90}?E`b41?vIM04C-=29_n9_f@1nHUP`@QeDlsj=toy#BCsHm<*FFQYf3Jo zJ=wBiKPWm7IT{mnld^A{I}h1$6>kg1J^Ac@GopV%i|&XBQS@tsG}gctUXIUZbVNZa z5^LUM&09?tNh3W18HE>NN>?T{i?}u{Z4wy97ZmH&uN}UB&2#)ld0;KxvTW{SsyuKE zga7LCoT6-RCrbip`o5b_tJv$>e{BV@lSZFZWXlt5y+KIBG>84r==cp~|LUr%02*X> zK+IlDPy`W-tAL67Tu3)E*%M7gjQ>lq)b63~6?zP+4*xt-(f>K}Dj+1&N5q>BRhhI2 zavNT(J!(8u4#}(AW&|i2{N2BUPq7zuh_vl4Rr{Qmk=4LZYoL$X;-zwl@-M90RoPGc zP|bfU=V3?kVrHX$1CnTLT~&9}&nxbKQCrhtc->_{0*{H5ZQ5Q&G0Ol<*|SAGHUIp1 z^~P{)O8drC<A*q4`?f%nQRNwFS4G7?$PL?GIYY3N7r!1mmaUzzd@$Tkh4VvSAGxV1 z9HezNb`F_x-&}wLoeA<RR0<PI|A)PAgJw$8sv&_4`us6I)11+qW&epy>1uQt;y0Sk zNKM(<n0pA5Z2L5dSk2y90o=?F^YT+(8B2)ND);dsRp*APGd|0)n~7glQ}HN}fx5^T zxCgJ@z!%!wDMN$p@$4BeoIzc;X5wibztczSQJyYew}t7?i>N--fTMd)L3@vp)Il0U zXOH{#5-udB=HR4Ga{bY7>EPaa#lr$fp7`_fZfpvcjN4d)UXZHfF}Y)xn|?yaX9wo) z0k*6Va>72{v^3km`>of;!s0|9Tt_Mh?5dA<x6a;a_=+=W=REBGUw38$9^WH>alhx) zLBJENYV^|}4187yyoguykDq;-Vk!*fTeTWrUGdHUTbO{)p~lnwVVggx?(@rw$=fv3 zWkAcm54<rM?tm>bHK1WvGkE)Yq25=DI}p*&EGQSJKOL<I=v+%hH4ZM5Q0QBH3}qb` zE8qu|R1zWmijl-;6Ggo$vr@yb|G2jcmMe;U{HdBd+xbHmNndf^E0`VZaQu!``AYgO zSdqA!3kv&aUTYc1dt9c;AH=|{doKNGHmp@CbMoRN#rP|kNM(634#Ri^6@CBK_RP&y z^46ZHj4~1o9h<m%B3>ttN+o}#eVD)RzOMQBzK#$f284MeeaaQ}a(QLTKekqH4kZcj zUH3CyptuA@-13r^9UoTcJAlh}&`p@w3~1cn5S*OqA;;~sd7<kG2R6t1t5fnIN6Pzy z1v8}IiNlvm&Z9G%2C2WpQWXl36b)X}L@iS{s|3RksDqZSYN1!%xRPogj(TA|fqrvb zwby?J*!X~f>@IGbV}f;qZFe#TOoqgVDUPAN1b#7b325h-gwnr$7Bg%&m$cyH1&0)j zAfTxC9Jy17xDsWQGy2K$!tj3B{pc)Z{Rh~qHgrFqx{rBkcX=25b@Pra#2Z#vxP4Q! z091FSl<)&M%(L=XlLXRZ;>@b#C=jcS>G%6|kN3QJAT1R=Tz9qamp`zXb7Tuu{WgwK zhbnkY?Z^0Ir%^Iq4S#<i-YhJ3?(&Talv#F^N!MQ}(oP1;%N&Mwey(~EB5>N9*E+(5 zbK@jy&0ieC{?7Pbo>sgN^{CCy_A%s}V)n<v&XmkZvklW)J&M+!)t%ZG!|uX%jUG06 z;dI#58k-hZkD;7ToL&)fl0ma$Q|tE|LFD~3&O^Y}7e{((L7X>{%B|oromr=98E>Hm z3agGTnbOvH383xed8XV4jJ)I0ET<y4QrV90a>}BAAlS0qzRB;H6l~=>4ZeU-gYLXv z&6R@`&EMWzk_d}`Wmwg51D^)W+%X%s{5@Ij{CRC&1eIIRBzyq)yXo3Hu3yk3XU(+H zt{r&RA{9R7D=;LK<P5W`>?r=+*B_GpaO-yAzQ-krp|i9ezc|M0@w?5<*&t{Sg1MZ4 zCI-g1OOI>~d2y8yrE=d?sJ`!#&EONOIV<fb)*+~#uQ>!KLjF!{DzzohvA|`xN+0w< zT`>noL@aAr3OEp7xAUz%;8QZ)-0puH{MWo~QaU~I+gH)REx4V<vW$uovro6VaAZ?r zhbPGGfG9uw9p9hws4zyd#I8VYEiPo6s&(B@+C`{rWOdbxG0_d3@(2I3w*h=x03XFY z6C_>8SQJ4%01bW!Uw=>IzD}M2!gvT$(X|X~2^}r}SeVXRA-KbO^XE7^EDt=_mP>rF z1Q_^Adz95Ccb4dA>VGkxFg@mdX7EEiYC)lp)wfcGx6L$dc=ehKLYJdRvdy>*F0~UB ziM8>#6(|M%H7DX}1@yK;;gmcd%&KAmw^}{2WmAO|hrQ$j6+?h@_*=L4Cp2x(*G)2Y z4(ZIk1%}?ps$;**3U%2iCP;l35Zqk^WV=X2TnN#Z9J2Ue3B-hI1O1A}8`nu{a08C3 zj)NZ-G7aN`LxV{_0Mi4kDy2`g4|Y1s3#3}8Jp3p;j2-zXi8VQs)lLr_G~`YauIqoO zl0CXM*xK3(KHwN8Bm+*3_t*}2-&5N=6jmO<C=}5@u?gi7Ja~_w!m*LT+*ppIVVKlO zv|t|cr=EpwQe;ajg~qiH@=dvCX*)%(u(LS<Y2}=!9)aZt#RwXiQ%;VGNrtRMv5ZJ8 zNS|VKf6fAk5U>>=<oA7YpAxuwWx2w3XPZmyCOqcU0#d-;AGpQ1-{9tnu4+i5W5suf zFgqsjnx|=JAF3J4yX`=?`UP2hx6Sp|bU?Uc3nv1M^i0JvASDJc_FMPNsoR*=M#^8Y ztCEH`&pogfUkNO<kYRwlSwc}<HpD6LGdevAviLm&ZQUL*W;WGnXdxJSMZf;D+Tofd zGS4Bvz5}U>EF_6tYmOlc(rvT6VEIIO_rH)lZ~VE{eU!frJVTiRS1j7=i;^8oPUA8( z_*M@YeD)O-C;sx%13Uzj@1QC`B#0glX$HfB<cQ`G#CA1BWc>9w4;s45PM26-FuPED zu?F10Hh*Cm?g@&CY8a1H_6d6JN0iv9VI`LokJN?Ms&3h(K?pmayra3#>s1brMtC`G z;u_qpYz~x_LaujLUNB8NBMZt($yJ{Z#|Cw|ojP*8KSsgJ%GxT}s;znsI^e39_LjDm zLap8m5?4}0lYiG)d-#LMB~$;wMN?o?%x%eJbvE8AZZn4R;%xyf|Jb&bMZ0p-?+pjK z=IRhgA^b*ONZJ148kPPqISFm{cn0ObbUE^}(GQuEcM%+)n+k+F+v^r3><a7sWe`%p zE{j*06&)-F1yU7l0@A`YqAf(Zc-nf5sfOvM6}zqsRQWJ&(Nl}4>|ZB|6Ya(7k`*^2 zm7J6u{B*!Z)ml2HM1$Vka2PODS^iB{8a^LZRj)YG^9^{c_<Wh%im1nES(1X+UHy7V zm7?iQLxK5K7ys=IBx6kPG;bTl-<DDGy|{JIF*x$0vYshLiIX71Fh*FNIK7eG=c>rg z?;h2Ux}WrA?C6yGUz+bvaU)Kp|Ci%xNCb(kHMY|Oc>@rTAF{H9FgP3G)hNld_@;`9 zh&Z9r4Pu-PwsXxwg$dAKLsXZYE=c}QQvgdo*)5b--0XO^E$N9iGjS-!g1DacwQ55> z;>T%~3K-%?d@oYvy{QJmIO$bs^~Y8>IIP^X0uwrAn4O?+!+YitXI$@jQ$v;6K2jG* z+Rb9|j~+i(^XCm}&Gvp`@kpc4zOUIy8oGr`%sWsY7D4Pn=r&Xig=0H6>?+xLkK=91 zcj-fpkpvvh(l%Gb+sdJPL88@oV*Bz-tKrjhY`r3w($OUZ*jn&sExvOblKZZiGJ`ie z%Od%nW(6`j+&G~~MO6F3b#lm19_;9w%=<zSTv7{OS(eGdBUvmz{A6U=Ga<wPBt3H2 zCfjIYLz9Q})3Dah=Q;4Hck{S2tDN|pX5W&lQ%tp(19uY?qp3wl7cbA(8rYaT2Tjv_ zwhGR`Un<Y&Qvd2oGdd0;tfsrT%KX2dE2sjBacaYEr}iK86Fk{I99vJY+$u8erDNYv zWqY^t*A?}qSt(mclH5mUqRp+2?5wT-P<d7mKm<QWi$t^PjZqfv+XkCW#g_NtaWGIw zY}C|)U9<x3v@kzEzg@+jO%EsD*^bU?XwQ2IgAIfpYBSF^5`o&J&?iyrgTsLv@hw@a z%1D^tMy@6mt{r>&lnQ&2Po?C-M-f;J)VVuAbXn1+-C@bV?b@LLYf|?BFT<Fek|LIM z�H4N8W_vF2|Zus&Q7#Sb$s;!8?!(a>nvHogNIuXJ*isVpBwEle(>U~6^22T!0* z<EmskktH_?aI4|0({0XLpLk5OjW(%}yn5($bGd|0MGHtK<I~6LNCz#433>w+Jti|W zglz3J%#dV%fU#!yN<le$F#s8PlDF%0AI(1B#{9dClAMspjJqWWGKBu9Wn(5Z^PE2k zdxRUp?`(YidM7<`S-VJ1xxOQe=#cM!YtgtVV<rSH^*l6Z8`#WMPnhV=)Nk5~_p#>r z^;3okL91?ZwZ|uQ`OW;M!oNm>&xCAT+x2{}tZ0B}F+Zn2Kr$~m?_!kCS0{zfO=UJU zE3D2et%dptJo7%sUo_Gl$DJ{vqi*nV=~m&13Rqo8zkg%D+=FQDblN%(@NAZoE(pZB zu6~pa3z4eRZk&1P84h{;!RO(c-`MidUz)*nfyiO^hE;CdsM%958^>Q<`pMDi1rXvE z6U2wU2&dVC^NY2A5cML(3VJ)xz?h5_;B#;$g#-$O5KkD?3Bo*aTYsDpJar+Ja|L+S zd|5}%bckS@P2<3RwU<*m-}p!&LXZAqh1Rl?1a6<xEk$`Q4p6QqoG<r%S2~QlBqIYT zy%!P-6B2429U<JOKbfz2G-}U$BQzUK^IG2hn!vy28dno+Z~N8!AuN+ZwTWKnkdyB3 z*m{*ArmlbdQ>R?YmQJLi(2BQ|^_pAA8e^L93Le+&*^Ue|Ab5XOPo8>i`#R(?@?qh{ zVR}OS>$HU_!3}QM?6zo3f-1c1({2py>VGqJtOYZDRKykX?5(`_t!El{`^d*Jan~a0 zVr7Wn=N1WJ@~Jn?48JC5HvVN~N%nQJKNo+`59qqlH;gy6uC6mj>+Cr+3#Ijcdz3eQ zs5=of(>#AkT}iZeuI^%@LTKfE(c_2i8Qf}$f69Bz>HFDCp|Y;Gl0!;^M_<OjPX7rN z$$ej@TXNUQN=dV-??(8Aa&FGeY_nfI7mAb=6(yx4C8cbhJsVsO;utV6IAz-qY<h%= zTvKnj7R;{Xvt4*{E#INXymFeHva}~{RG~UVDm6-6Y>=yr;enaoyCI4?%JxbRgd=|+ zUIR79-}*=hTG#sdmn?f;P&bb4ZB$vw|FqI|+2CFfCCe%A(^+Gw(v{2U+O{vY+$&=4 z-E-sRHp;L5Fz(Ugjn4k*6J70XD2;TP)1t0q3rE#L9*C$ckDw+8JaEFO0To--OXYt8 zm#Jq8XeWK977Odm+*J3?{xP`1#^w_W)QV>^Z9=J`M&{+PPql>;PIP@q#)!R|XwJRT z+!Em069?~^D0%|Xw0$zKrz<4l)@nUoJ(p?5^ENA|x;oxnZg~(jQyzDXZYJ3M_1tk# z4F+gg9-NA!p`2VtT>D_Hn+VR6Zd~qW@zZPKpkPPoc`xtk8#`u?TMW~=<bPdi_!A|Z z_;?{KY%ZH*;i}s=Hj1YXEFi25{a1nXz1nv@?9eOwSh1MUALG5%<T8SzTjZ_W>pw=# z*KTy5_giX^Rvmk7R6^ydZoAa*)NqwYXBo;N5Oak<#nWXKN<;eKDH{J4xY&Mk`~Zvn zeJxp2h9{?1zN#iaQ0FC%MsSE=EMnqw+=Kj|<xQoZwEg|Ww7IC)(EwRcxt*DN;#<Bt z1&ax*qo2p$)KG)6vB}E=|4AN&cQ8B@_m(d-L;su-{Iz@Ityg#A32nm{L-cwkdi0aY zJaj-iIA6-YTnFG>_P99n4u=-9eWFN*omA-g+7_amSGL$qXZY3~^V+cnLV3ydxy66s z*1Y-CbKW)T^yoWrf)Q2z^_l`PQ!|bxDSM|gzf<dLoqQQi7sfl|Fo&L!pYgvr+qijh zgclCVIyYSdi&S^TSzthNzp}ywFITP!H}l6XgFUKn{f`Ef^>3_0Nb^!Pqnn&$wP60= zTJv53#pNztclJ|0$TxVcigU0%`3(SyS4!6m+5$6<OeIy!WvC>a)s||fQtM+@E15TN zq@N1bk3ZZyKBd$+mcm~gbLcGnc3I-3;6rTZ*}#U6iH<uOn#Ychops<FDmJQYIzKxj z@N`QqHL8PI8oE9nDBs|!cG^UobLrX#t~Lz^W4HDNso*Hn#;(lSM@gC`YnPrKTiGJt z)Z%WVPrjTpBd&X)$@|%EBfx4`zf|nZl!b(yIzyJlcErg#dB9tB3B8in+@3!=lQh#- zWFI_<%pE@iGRwX8<D4O1{f%*pfto!$&25j%os0WDL-Sv7Y&t)>8ac^I#CpQwDtL0i zqBVK%oUW~td`BpC&3OKz?Y<2UKMprv?a}zNF#qJ}J+cEwGo_BzRP#71gtU~)E0s4g z^2^x2*X8n)B=rR>C#ljyy|lx;@=R2j^-Kk95hh1m_syx;67R49R)O<^ova_ZE5>f1 zdmuuTtEDRWtaVo~W^|96-+b(n5sx@)?LO|FkS88u;$^WB&mph7yL3-|+x%2{0t<~h zh(2Nd$D8sq+iC9ax@B;Ydsu(L^tr{KQ(f=-r;a5P+F~?&R`fZ<#3o`BNgIQp!sZ<t z?y+Tgp^;~sqE7c~@BG^2>e-V56*oLYIiw=b#)bfOEng|Qh3Vu^XFL`cFUz`M8;c)r z5Z+(l@Xk`YX?RM+63eI7F>xVPw{Gxl7ZF#DP7T@Om|AZ{tqu%eb6n80t*)$uvoT?F zE#3!KZ&gzE1+K&DZ*qBzhzh6&NnL^66NVy5vZ9DS#@6ki18h$kSxY_S_E9q>l@z17 zZRrqg_JGhOp?hHicY>$s<mKNFoSZ$#EAuNwdn{1T4a&5yOor?^_%W<%7JQu(ov$i{ zc<*qGog_b@O5TIvC26xdFS+%z`Y^tdG<DLrZq>!YFIm^Ad8DtU(dZW?_Bk``hL=|p z|0ABpIomIX0bm_CrvaD?O${!fCtx>$ATBP>P_dsR$B)kbp%i!J{MxSI8Qf=)v6I?9 z`k*2|>4(VMV%bPFg$r?awj3&l-$KxTX!0kqJcB%AQ(oJt(1kwOn(rU4t9_OnlS~FT z+n1lL6c&!VK3@mFzI&Ay(BIMF8h6%1oM6B+(<I7;4aE|PL-d{X`c2!hUrnLDcaT+1 zuISuC_r|9a<S>gtg<s%6zG9(cT6IAphj{l2R3NT2(tjiJ@TTX8>yk+EaR!lEhKPze zNU5{xBlGxk*zy&VMm^$v80i(TqhN*y^#FaTzCyeI#s14rAWGR)2=<1!5r6<p&Zsf} znO=TtYva$uJa&0&tI5_HU8nmr08d|f$k90WVcCLblGX9~77}n;zi^PNirnu#IFs5_ zn~Quhw`8HZQl2X1?HfxIbbDWjF&^o!u{X9<)}pJSXl3i_HCI%R4P&uGc;a%3O7}g! zyS~irp7p=i++F*`QDJO;6op)&RnK!YP(S_Shp9Nih`pGKMN_7kUmV|kjv`>lu-G!U z$Mb(p-w0UuS5Ua%K@qOh{^!$^{T*+*)`5+(wN}CV(B$8GE-zBB*c>PfdGyWtS*NjS z5#9-usI5_z+*Y;*b{pQsiFUJBS7!Utu;n`mQey6T!4q)pE;Cf98=+9o4}}RTRz0?$ zd>-=9NL;K^!BqK4()0v0E+V~ztTmz@i!1Vsdp*+q<3}~8U8{V96>sXdUW7*&Y@1w> zaMr&&7)SVpGVIT++pbi#KKxYGL+P-a`^tQeg<hyNMy;f02jp<SI%y7UDUl(8HwxK1 z{fK_%<J)pFohuM*ygdiMX<Lrxs>+n5;-=J6mJd=bW%d9t<Qd>rV>5xAwA)V^=k~p# z);ThPMxVXAK0Zu+p^gkEqJ3z}DD2HQ>;6D|Nh<B~HejEMeOO^Zyv5=d&zFxEvt)D! zdQH|V+?BV3#i?7${Cb*Mdt%S-?gM(;r|~;Fl_^=jbSgDyi^RV(pSrUaw#_*>F&J|t zWsl7QMjJBQXS_D-RMsL!9WYTAjXIN(x_Bn<?*DQDNFj=RM_2;H%5M8?e_}h*6jYo@ zJ!(0kc)tCn3At>3(FHqFk{RglCze;<QxRquS3XEYI5Ag?Z0ngjvp!A)B6_(|Z8HbG zJw?HnZF8DH8V2_zpWU8Bxd^t{xHrmwJCPGSX@Oja;6@?}jY0)4A7dS7R$0x@Kb{%7 zmamlSCYp$GM-Z~Y;U-NX-amLc`dTtQC_Nt>j4R68@?&?ti{&2zO@A@k7Rt-n*U1u* zXyo$Z92UC{!wGfunVLo|r{IsHXKCR{k?4*~DEXLl=BNib^B0jE=kRC7aigl8+D#(1 z1QiY1e1Cu?dbo$4Y%^m?1P{x}zuEH0SyIR%+q$d7e${>E{I^=MGfD^VP0uCnYi{8- zTy1zRrF!DPH8MwUb|n~si=j?CC~}%pR4CAr*(4P!;nJZ!{Gw`)Ug$(2jG@`XBy+h~ zy51=(4_q0+aSuckTA1RAtiuq%qDopgpJ{V4AAHf}s>bf3TaH4yklUQZ1Fc5WH6;?F zNG?7}QKa!!N>*&Das|I}jNL0cH*1yl3exV$xW%d=_#|QjS<l$)gE)nwB->i{nyfX< zqM`KsKm~?yUJd2p+Q^Cf9hX$+l_QshRr!8r{u&BDyioga4b2dhO~^yZp=4|1A2o&S z4rw1SDA+89B``M`kLjrjvim2Y_DpiOeSDqQQyB9)j?89bQ`aDDag{&?cY%X9Ji%Iz zB-q7l85hguN*QWIC@O!^EGfEh%0xdDKZepil%u#qq5tW9&3*U3dryFsDt<6$v=b_S ztoD$xj<L)vkLw46;S!adviJh?f2iByxCG6fvMitO2T@PlihH2l1ZpM)57gynIWXDJ zYI1Td&kSwtY!_(7ah*^}p;(gvH?8w=+b8ogNA@IjSQ;Cqit5JFjGRZ9Q&$`mc+byd z&QfWkC7EZdY@0H3TV}PfwKYe$xcX)uUklxx^gRJEX}@TP;jS(bY40zy%IEVPDzuYc z6ySsnG_fmfJK7dlS3b$)=*T9v-4i^cDN#Nu-a1R&kRL3A8K<;SSlZxq3^+TyE@o2} z*`^f{l(6oAv{)D4B<%bkn|40rMp5{4EN$gIA)pW0b4Xr@TWh4;R?GA{nL@L)un~=% ztj#}3^aZu7zx)FR>nj`F(R|v)<0o!CHt8Lg_@XKC#YLq&x?R2@hFCrZH{M-Lc~&Ei zCsNajKPzyx7g63loEi&S>G|Fp!%8xbg52ANRKFOwx!2kmeykN1>iE{BJNiH_3|bGC z^7o_qs^z_GubOVX*Xz2);X@?`#l$=n)l=iXc*0c%$pvg59*zYt3>k<JayaJClpRqg z=W@f?)N|{(`h4FnvA91Bp}aF82j_nm_+R=brhy$9s?am&HP8!n*KRNML}9A7`lSjZ zcVEQHaQxZcn{(Ek1VGUWQE^+<*}vcG&Ob5M;w}~GP}~xE`f49GGgh%+enpb8Shjf; zVtR@-0#+@TV#6wD`@??%Qf-1bP}H2H5t{AR>NDA!*?rE8dopJ$=SD_b^1GK*cDj6q z8aL+zlS~FaS!kAEdY+fh-G<3)rK)bM2BhvN#2O8rxx3z?ufH?TU|i&#_as;EN9FnA zsLPF^MDr11#gZq+JIjypiS@4Eg5q-c?cNjpgVgf*zt6@Re2@s%4qs+r)+!sTKXPoh z<^<k)A`q4lyaZ4%#&2!4j#BlMb2Dl~)qiEqV>057y-G&UY}F)sZ5%yu&v^Rwz<doJ zzkOwF(cv@ek&Z*+_V>+jPSV`|l$@knwHv;&Bii>7v#g&Xmhq;%gcZwWJbfaLC{Fif zoN&UljxJ-1Y(&5;s!!OGr)k=9`ucjURJhN(U1NY%=-}1gZYniCy9M1^EsU~02)X=L zyv-K1`L}NeYhg@yE-f6IPRMVMHW@fmMYrwk>*)*hyL)&t(1TI$t#Rq(;&W*WMa;DP z@-)pQ*D30{OlP0+U&O}12X~D3CycF)BWnfzuvXy5I2zh`d_DcVsqwyo+3A3|GV@XI zAG{_N`520?)!CNk((^g_rJ2TS%~6MdO00Svdc6f|W}#p3QWZmolOw-ubI~<2dXQV) zo20BEJjn%LiBXB|zonlViJ>vESLc++Rqn+B4%cxOCG{b;Hota$h(CE}rf#8y491K2 z-k-mKPFhenku&sXvx5?sht2=gZ>4`YjTEidwBnZ!qdn?{2H%__!V1j&#u+t(_mXnL zV#?DneV&Oy^-Nn{P12m$(^{5K@Cq2Jx9>_+g<|uuqgebW?5JSNGgVBE9v_!|+l-&x zwk9_J01`VNa`R^gWf7Mte^PrP1Qt7F%F}3ad<r{M((lPn^TZvJ(YM#UI3UFr^%!)z zAoP*1z*wSuizUjcM)nQHDsA?kWt08vK37(wuS`<}TQX~ceAU)I<_|d>UgLQ^?>{j1 zQu(-t&2b!NL|NC`kV*CGdt%MHlFWdRU-~rcYGQql+rACF$Ysx@m!fWYLq&mb>$8E| zI155Ark_ZWS2r%nEXByGG<R&q#Fne8#MnhIjqtu6$viCT7a2!Peg5Q-ygv52o1*?> z=KQY?WjFpJ*2NpB1MJ9ECu5IkTeN3wxsNx*L7&v(7dxm>?x)u@_j7)@1E%bC@1j1n zeAG`dA;;~mH*0ENws);AjfD*|bzV)d<r*5cy}+)=+#;zp(kDg_?BU;O(cjszZIFkr z`>j16izmV7^KIzyCy6A2?bc>M)zjf1G$m$|IZ6*J8Oe-)J9y%jW0`quMOTZRCTMY+ z*D{Q6tMJ<a??VF#fv8X^Ti24yan5H59;v1aAw$<=jc_C&AvaC;#4#+-PX1;M#Gh{> ze159_@a6tiwVupbH%w*f*@5VG#(d)zRinZW#q^jIr$O|67$-b2d)YkAc6Z;wRZd7C ztygn{M)Q{DZV^Q@#ch+v5nCvUqr@SG$45Vp99IbmAp!LE*X>E9k2Owki3+BwA%1u9 z)2RhQsfa<ZsT&;1t;gIDXWH`QLR5h9y*2#<ePairifP*hi<6WsoHr7{*a>4~^xQzh ztg$2-O%@eSK}iU=J&sCrbm)ub_?E#H)o^0+Q>v2@N!^#;^EKy>^mql|x^jf^G`hBM z;7sFT`ZuN!CYA_VCH*1GeSsfk`?81C58z?$B97;7d=#ldgE#iWBygc5N<vFK4ySFW zuZS82teXw=+7L{OFb&9mD_{r?+jCmN?0njrQ~Gk+2z}P_E_iOwwq!`oXqy^38|v7o z`oeh&<7ga3J8QR7_1Bc2Lv57(D6>h2CXIs1{rF>JuGBk+MlVIFAC%Ycz${Xjlm?6B z9O!4gCT9gq$b3kkvi=^n^Qu69zaMm#$K&zVIvJcvUz*1t(%e}~n!HiA9p6pX{30o~ z9(g|S^uUQe(+sR?z`AdGUvX*Bx<^A@tb+UeB5e`kRZ)%i9`ATf{xi%LkeEXu2DKzX z=IX&*v8__?_H={7|0h}L><@1gmiuq~c38w&h2GS3!DEQsm)}x+liiPa`u2HcC4Laa z<yfGt4kYW<9IdXw0ME)^<f46h=Jj{))Go1flT@S=`*q%+>NiS-4~pDv>zAN^iANW_ z!hQmw>0lt;RQ`1NwhmBOlT!eblRwMK2Xt;3%jZ^dyqtuVQazH|j7GtjKb}kH(_U+i z+rSNVX4q#2G@@<retwdvt;?-sxft`sVP0)5-nO=1?mRa1#co^cAP#WcQ_$P9Sgfgj z*_5=Z;!16`s_5y$PYukeovp8<msH)i{!WuT5MlbETgH5M<?HC{uyCc5K)hF9e!Tj~ zElZLwDpd_F!5yJD)Au9u2C1d%nK!taWSuu=zRKlY9Z&1(%ZfU=(<GPraqX-+h3w3C zcYT?;!v$3)5jUKniL11Wzm*TL{eH!QhF6~{^P#;`94;pjCgHz{g5qk-HFD9tslY{` z_^?*Ye_etO9JcO+yR;o_6!v<=gN%OcT8D9!Al2EtcYz!;#XgCQepj&haA0(F)Qk1= zdEwt3i<00121uy>OrOZJ5_6ChzD%>}wP9-f9+)*=OHHlc+R9iEiFB%=JX75s?U@vF z>x~|{MRMjWRYOvL*rhOu6Gd2d-4h}FGN%INSnJO!HgxGz>ehzfz^^6AI%Sm;L&tER z1Y_)^xl_XR+eN7qWos{E+`M$M3BgiP<wR9g6?J1>vaZ17Q|`V8=7v@<OBH8x!Wt|X z>b6!VA*OF?ID9SB#1PKgaerrx=IJL>ds<1>EctAjBqmF~xQ|lq+8ga|c-#{+sFfI* zqwS-lREMwSHdcGMKr(IfoDpJU3u5sXR<9AL8%V2#4**BI{B{GG>|jm+^>2r3eJ9L% zJL1^qN5eE1?|dl7wD65dj98u?Y8Y07se}>F6cM)>;`!P+reyuDe2Pd_n`n&Y2M2Q| zQQ-?b(P4NB&adJ}>5^mTY!igR!G*>KOf;5&J*uF44J)OG6WU*iyEFYZVKr#A*A`ZF z7GZZfqV2{w>6+uhnIlKDxs_r|TxIz1onnaR)`on{g}EU(CN-7hph5|oZ#!3!&7*lt z`&z>nKBFT0;|<_4G*w>eyT_yU7w#v4KYTekl{5eizJoM(;w*i^<Ws$M>|WyT7+41V z>ClpXXZfydb2kQ<#NG1)eui-j!7hHTeWgPrl@n>v3V|HJ)T1JuKYOE44fE@uTng{q z!*OxT($!|h`ZBR6JXHD2#?&fAI=~%JAZT=YqqJKjiO<U=apKMTjYvxjiE{8}Rh#iZ zPEJcN+~BQ|R5}(u@+UL6%~nyoyW1W3H-7%;z`hM%)ah8Sl==2AB(Nd-1c71SD~yOV z)fG@boUyP_TpcL0lq&tG?&J{hks5UI6mw-dAeJ%oZ8cw+;8bSbbkeOL)Pa6|P>L^T z<naT2{iYv3E(woW5bhwoxb<8tC!`gGOuEAQ*A`Xvm6Fd=*2Ab`wBVw_m+%Cc#nh3X ztW$6=8}wCp|7iH;hErMUJGngb;gu;jsh|##x1N|(df&@><sCSRkI+!Au{G@wOM>&Q zs3yH_jxH4uA*%qf>nK(J>rY!$B@n2}jn(mDbEnjV?AR&3{_F-xmsBTGc|+;oBg%vh zO#_z~hI*mz8%XPHB!DQthz=Z3nNmygA0(6={XYu$QALoi>&T-8m2JHun%2$uew8*X z$$wloz1J&itl^hZU8-NHh~?%(!o>U$kTIyLEG4oU9CfbseYpi;&vX>R{FE)8U@LdW zuSx@%+Zp$M$Na#b+cVBM4de#pHik6dw=}Y=JU~q2l~DtFnV~5m#7qc6$|j{Ghl`74 zPq%h-EG`t~z%gx~a8UaTI|4Xhx1@Hd9=mL1rPEPC0H#ZaO_6H^);7aS_pY605&yWk z&eV&!(#&d=j=MZnrI{38B(r7P>v~FHpBVwEEFRYi%+cwq4?2$8YeE^|+RW~ot8HSx z+i|I3RGl)}NZ(eH`AfR|HYNsY_0$?eS&<AwyFEHMIVaEpmZ~DN+5#<`qyk@ouUR0s z*Q7h{ZgqTmLClN?Xb-s1fN~LGDR&^iF&<4-fY^B%>j){CZbA8}1oZ)}HiWxd+EL0n zF)anmpbxE>p_~?HRa8!<ndVx+>)C*Rci7j$n|uv*@~?lo`uOIYbTW#oLEFO6ly<sV zJanIukbodD2AE}t`PZsn;C|kS*r*k8syH@A`mN{VF{_}3eitg&2{)F3a%0y(0lG|V zF#>A^)U|v#T9#ohqly?uD29inpO0$W_WFCnbR1mml9(!;pZWOW4n^XQd2E+s+5PK4 zW3VpmWKIv_5TxiI$K47H$K5feCheMmrh(Of68hg}=tumwdxy)03%q&n9Tw-WUt~&! z>yqVyqa@s6kj^$LJYGD~NkJUk?7hGVg<Hg)U8ed@Ksv3lIb+e_=2&EH{v}qq;A=)_ z)n$H%UA*Y0KZ%B_?Ubb|%VDr-m}<NU`e=;ZN>_jfCx1vyIp&|hZ*5b30IFfyT)5N< zpBLsR-k;k%2D4kfIn_p_z^V%mQl@EHL>|+pg2DpaGs>a?%N1)MyFNx&RvisU-qkuR z=k%=&U5ih2xS@K)XZNm!B4l+d_No}YAxhq{w9v2ELB-C;F+Fl~ZiJSNmZA1GRJmle zrKMqhX{ya};Ew(jlyl(=Pw-gt8tlh8+ts6J=_p>VA_Q#Br}j7E6}7ycz-7PG!YFp- zeksRNCqb-)(QDE|0#DD{>61qCM<L>i^HqLk2apH^W94hdBWDvSegYTbW#f|lnFal^ zt98eDI-&)hYI-bbO2EAsvk<R=dxRwmO>8&98$q*P7mIW{DjHYflN-cG0p@MFJf7P+ zg>x-RB41^*sg8QxSrh1arz$533M=}nsH?AvS~Z(dwZq05%pq10#>^Fi_1U_A);0NZ z*@hBdYJCvP1G59b;_`<oaYt~P?#Z#-y9FNM=ijm)?o+^Q_JnB+_)TFvOdA7z{MvGE z%*p+cb8t0nMOOHwm-=}3YM7wTs&2MX)CRi|7X1q~J_zx`EnOo5<p2fxq=PYE>w6I$ z<U>*)&QPmQ;Nluq4^^Mt2Q0tnSUOyJIWxY0{)oqn2Mm*)n^ORJPCW}C1{TO=m>1|X zo$<*2m9g9SqqFKY^Oa+2<BtvPpPBeeFGTybuKDGwd>)P0%enZ;2%A&;s5TAbB{2yc zQe_Wv&&L5McCgP_6@k2iJp5FeTePNVqIuF~x#|A(H7Wg3{ZPrF!f}$@jB{-i<$uJN zmA|=~xf$FdI~ru7-y82y=VwUgm`ukM@?qc~?;y+Pd`}`-zE*$KNxuK1zJMM9zA4ty zWG&uiOf{)X*21E}+L*i$5}N+dz}UPudo4MzFY~&a0RdJ$u|2{I<!F=_y1TG|dH$ba zFfyyU_~-vx1J_n5v&5B!A(a%aM7)T5Be;BX^Ziu}I8V1$@JFdmlw&j{YT|9Ki8}ZB z%@8++`igv%mros{7{CnQ4$`0gkI0qx)X##~?p`mu`+iM2MUR=io>W{*-<+ZW>uS7x z5MnI45Mt|eS-Z9rrkDb@5n`)<d_DT45u9u>2+pNXqqhcsb5Q%2z(MMjW9Di@e)3f5 z*!~8f5!4zL17-i8QHA^UE6v|y8ubEeaVES%<ul^Bgn?+DuKqmV!F-WChp5G-qf;P= zv=gv?<D@GCL&T4xfMwn{w@RZ`I;f}{tTM6omsneWk3#eZl~Z^c8yQ>6j%C9D%fMc= z_n3yBkF)eM8ytBwV5idKrA)>Ry{rIe0a)4?$`6ee4VAe5M__?>6dARtml|R*qy^Nx zoxU@}0{VoMw!_muB2QNk0z1v6ZQ;kiL@{l<&AN9FvAW@WW*=zad8)oVx5M>*(Bh>C z`P3x!9v2p;V6ujp&;|`tr|74f`D=ml?eJ@+EAPI=a#MsBJULVpd8Z3_6M+QQYXtk| zq&x2hZx`iw+#66PAQr@X9u25_8w|!cm>+&Bn{@6eyYq;bVoI0ebm&MaMwt*+ocdZ= z!&x!KWZ_5lu&eBuW)GZd@h5l*<I9p+ujh<2>|_4gGxI}LlVZlZs{NLy8mP6vPqW^~ z_Q(f?Lc!rR%ffek<pfi7Cd?V|7ls}kKYPQt#37b^%D%<pX^PTc@|W&v0`wEvu=Bv; zTr-J8>Qal(O4fi+m<KTGJaIm9U!w0O=Gzt6meC$#U!!eglIb#Rul!3u4%qA{$5fg6 zzJmE#?b;fl!DZ?7w<TvZ&^RTDFVWu~VfX$AgnV&qH}2%(YD<0ZE+`gfQ(O9}cLT1P zP%!DXey9G}I0&s82BBR+<CmW-0)xB?a@`Y3EOR1k7VYO8pXQ@@n$;(<v3aq~V2!Nf zx|{*g%7lvzb?>NDE2DPK{ghis?Md<WMvqzA_<pwEkpN5jLh)Vy_XoU!+kywVvq37+ zxIgxiE)_}~{&<NmaQRPD&@`jPa9Zi1>9PP|ExZPvUgRCC^z#Ah@tBXc_bbStnAT17 zv$n@!L}z^t_I;-QF3(Z?As3a9a^M>G13rSh?{sOig}Y=@S1S>EIPFF#1vKMMaxlNp z)>bGp<-2(~IOsK2G$?ABi?Xode{H8Mv7=4y)Um&N*aoA)_?}{ESs<Nden{OwC#SFa z<pypg>=&)-^6i@X&XN1MQ@)8urk?)hWb_&-VRUo)=G*YV&WS>}9Q)e>5dISrqduTv zL|l8zvUa0oVc6tsyeP3J_UTQZ_m}uic8~bPumCf4g7F?U9%*3A^Ax`@K<elKS+Vn& zgqXh0m;5MRw=MgezfJpZ-l^uMe=R;SEB<MN+$hSuv1)(Cly1ke7xqa$XV_lVv0$8_ zeD3BTjuqu7mHksb*slHg5?VMHBngTvzKuD0NBOvIMU~E){rCvuVa?HoT0D1K+XQ6_ zz<S0YU-8vG6U*B36XXN7<1e8I(MS*C-)G2|efd{k(<uAzDD!-tZ>z1Ke^@ChfQ;Jn z*pfkO;4g+O0D7OGATcbgQvW464f8ZnC-mQvJ-hkz-)Hs9tYUwgAjtVDz^L+UF3!-( zN@%iJg?0rf`U-p54ytm;-Hrn*KIS@qojrN()U#WUNSW+g{r9JdpvF{2S?`qe_tHP4 z-<V>wOfj^rwD_yqWM$kYblKRJxIt3V?_%8ipSoXHQFAn~itdxM^=%*0OEugtpAQzD z5FcCu<GTXPgx|lxV;Ai|ytr=fhWMo{XD+{!;(otLEHXo*e(Be$z?%4|?xgsjYSMmC z`H^*C4nm!`?Dm$<(R8hCD(86{-^HG=VMP_0FSJwJY!Nh?lB)$FpLdY2rjisX?s>KC zR5O3!i>abbk+f-#K!{60tdfWdamuG^0^Rq<k`KMSxz%5`v7Np-UO1rv#(t8u(e)K7 z$fmk9!!bU^_D8)f6pf6;Kz#vqK`4zghwt#6EtIj7KUwa9np07VL3EvoTH})rJxUq{ zyaoP%?4NUdp-cp^@>i2xhJ};wE{5AkQ&lB?#09m$oryic+4pZ^g)(ynx9Q%yGA7KZ zkndtdeRJVIxQe6&^f__xH@a`2O1#maO(rXd83d{0Yp5U(*igx)l41hS=S<piY}7HU z>nGqo<ob)S@FSv$q<%f?ZTAhFaoFk^(TU}2!A0YUmS?3sJDLICR0$RDjK9+DP$O0w zQ&0;UDles^3AE9*V5C{U(REwZhQ)1Ew19ow3B%9qVasLdq?5fs?%QXP3r5()uRxdl z8!mGaMMLI*ztEXa$`6!AQtC8iNINRC|0aTcTxqSosvbK<V^XUZo7+U2%<1D>3U?Ta zb$;qi7ZSeCiw2`<i^EMp?8G6<#-y|A20QOe7`uYe3KY8h6A8JzHJYd;-Mt(?oxYxR zOb_e!rY_W~<BYXcx#DjWXy)OLAziMIsE<>9w(|Gcc9YalY!!qMtDl3c`dtO7a8tC> zbTFq4FP3fi^4+~5AlIct+JGN^YN!JuUvpZJc&>ZJ(!oVpg>JQB$4TdS@xXD-GQrzQ zD<=U&MZAH358ESqQ1P3mQgSCa%yV>gOEiO8OxF4np=j}lH+3I3_VS@3Dg|j+FsJu8 z@odcbF~QP#`>6SSqCxfxbtN$;mBZ9vx24kM5J#rsCUSu!8WRML+*JL&hwU?~i(XK^ zt4Oi%&JD!6*n_ua!y-n8w?q&0Dpdc2{(tRVSy)ro7LH<tTE?%=0Rr+Nhy?{IdKt<f zAQTm4h7<&H0hvT)lrX83pd!H=LREyoMHE5_)g%|%0Ko>Cf~FM$G>i#NQz8Vy5Re3N z_vWE5ee8X>4_z<k<jcv<$;yA$T6?d5uQeqkhIC0m<H&c;MWaLM7+m9m)^bh#^xpDH zBI@^mN#DJWzc(go&4+cHcvofsyBXw$KL|BeeC#&9%zQhUjbs%#XC<=;yL}wBsG@09 z+0FDPU<D4Z%#bZ}{nhyR+}6-BKFBtkDP^`<NEbCxuZ3&E26NfvWLAT>koEDEE72N^ zMsl*WS2ce1+qv)JunLhNJ#cRFHwF?kyw6iVgBy<(FnUF#Tz%y)i{npatpTX_+Yz5r zAx^?ljWMZ>kqS|U!sb+i1!k=6k<+HTLR4}ZZDZB{OyuQ|ow~9%@eA2t6P2SJ)sHL0 z-<jjPVt-bsMaoQHQ(x{2?BqmKYk`T!H-HeYBi9XVHi7-&u8s&IyW*Na1<VAShGz>> z61}!KxGcD<$47N=^+Rs9ynH`HxhS4)5rVWf_7efJbGN*T74NJFuT>MU9ckqDbAAId zV4;S&!Uf^zU5Gb2q`oZlbxEOXG8&AV%&Qw(YF0dG<e<?G6?G&}u~1g7pDT^Bi5c2R zUzr&zX}Et>pyaPI-?+%k?C&F~B|_$8%$ulu2iihrtOLCxn=7ksD?6X?xdH3Jp+bCL zI-yQc+C27hc|+JsFyVnz84VUL)x8Z$Mslr6qpxkl;C}X0k1x>WrPZxl;n$2&7Y3>U z9Yi4(&$Lmy4fC-vkY-^%+_Rcsbz~>9GwD~09a2@ZP;J!f^{Es45eT(9PgiHZ<^q5S z+8A>Q{w||vh+cfH*TI^XIH$8gzaB0puOu8nFAd9P+9)*5I^T0@hF#JoN~J_N%UxLi ziLj+7O@Wsb{GT}&H~lYEilTz%f$OhwfF_V=wf6|7^qs_Ehhz;CvTu5gmyT_!Bc3Z4 z>r(c2&Knmy7Sk8J=2rKB#hb=F{>c*0=gkIRklV{kk!}kJ1OtW-*S^##osA+cKN}w* zNSJXu*0lut*oA1@B=1~puPrvkC&5Ki7+n(1VK58KA1?Q|vxgZi-Z)NU8=H^y2MasI z5j{lJQ8?j8HhfK$zG{r<h-cZ+)(+x6kg1=c(LwA!+=uNoIOQBc`$vwW!v91T=k(^1 zR_-2`jFlWz=(Jsb#U0=umNF>g{GM4$!0xI#4QT{O(?HK-6r%q1$k9fzkMt%}T;M;a z($v~^Y4bUAV_dmGGR&W>a5#2ylQo}<UB8&NLS{yvNF2gbAAp2@ir+jh1E%(hyYM+{ zd|WoD5j<EyB3%j#lQ8ZQCD*k%+D}9x>AfwQfMI@&Qr;leyym6=X_F}3Tr1LHv*^T6 ziHtJ6fojYyf$C=aPQ*_taN2y|bK<})t3s`$$J{}MVQc^0ffkPN>vGVKbHoJh^*v?J zHE4g8Wl!2o&=-RO6qjLoe5!+{E--@K*N^XjY?P}Wi}uWiVF^;-%%k8MEaVMLg?EZ} z9{a+fMaa1e8o1<TL(cZq<M}gy!+aA4Q%>Oi=NX{5Fro_iNAwF`A#|y$vQT&ePX7V0 z0p%&A$^glufd>joNg0U#pu7WP!J|_MaMw^gynq3O5)1Ne@MvKEr$KUBVZwX*f#`<f z5c}nCdSxLizIGvKZm~Qm@a!92vc^+#+gGnx^y#9@Yp+7p<J|KTO<p^ZdF(wPRCR(r zG6j=(n9I^hbBs<^zI`8iDtzhtf?%#hj%q&dUc+Ixr70_8F0+#~o|DhZfHDeU?oTs? zcv5&{A|i#3jT?%wYzUJdZxF{RG4$@y7S`$7_Ep|k4$j9PCkKl97H$<yqr1JM#V!%d zQ;6Sy58n9Zjx5mve2w63{3_G8zwq269Z=BWBZx1%8M7p@&6!clv@x3TvisgkvFvDp zN<Dc)M{?Nu-?fVmZ$H*S`_W|=5D3VD&70Fm=Es=4z=$j_$-0~a-K?!6jZ~iKlm`Iv z)EvCv%Iw21<6V~N!F@cmezT0OMJ4O4I%Y<94)uekkH!B2lwl`ToBOPF&-(wU&8*`& zyeHT4{Cf|SKhi;48v%-J01OAQ^{BFz?%T^X-z!(zXMMO%VL!G%&G^7TE#+DCN#7Kj zL*)d`fCVG<o{A83X6cLRiXU#Jyf=*jz$k^i6lGQXJNIr>&L5o%$((TIUzg|28;}q7 z?6Lpqo>72i{eg?grv4iIUE2}IO`#s->BG0k5fmEtar6brV2S=@(MTkSYl<rYT=wTP z2xz^i*_Ls<)2RtqG!53_4cC@<kfaeivnu>j&rl4;Z3Tb4qm+0Q+4bjnYdfAzkMO`} zxPPV(PzWmnjZBcgtN$T;K-FAQ*-ft}6FyD+=L>Res{WV$o=sDH-ui^KQQ4J`alS+_ zSVsuFGnGR$+Z0N8bCIYz`J-(JQ>f%>?GQcNK+rcloQT?3U}?!ITZlyzKiXoz|5cd( oPo9;(2l=!8_**CchdNnNZd(*8sTyyiOrY8KbUW`#aS6ZmUy1mx(EtDd diff --git a/Pics/SatNOGS_End_Stop.png b/Pics/SatNOGS_End_Stop.png deleted file mode 100644 index 896208d280a01e064c421eed799a8becaea9eee2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9111 zcmeHtX*8SN*SC(8mMWd7YEfEasi_)LMa^?U4WUJ-7>iJ2?sA){)|?_~)s&b;s38=$ znx#dkxr)TBL=X{q-Oq>r`+j)Wde(YAKOe5&wXb!pv(H(-b<RG&ea?<IGts@kDZt6b z#&$tpPuqfx?c~#Ao5^wV7)NE~3XWf={GaH9I5;>a=S+Vcf1dNzv+-wR6aTO01l1FM z-1ruozP2Xlb?*AK`pX4d)ZP|LR*+wH!YhW|C3j!L;0Ayzraeb-(eo2#;Z=!m%{jqZ zq*iPsw~z9Vk5!-Z=N0liMeje*m%y+8lb&kWA?7L>vcY$Qv7hc>vP=LKw+PE-)k&9v zWa)<i4m8tN)=?81oA3Tn@T>$I+hdhDMYg|0{}=uz92`MsC8~s}^sw1twy&CroldjG zz9Vm|GoPYPES>A@ix6@yIKh^yeGE4=|Akit9a=^Kl#?%`j&NHaGtaX5o__!T0nwXp z%IJWZy?aU1PP}Uj{=ZI+4E-guU~~B}^S;TksggcI8lY@_8YBJw@~ungbS%>~<uqRL z*O_3eF-_Jt*rH7ov-+yGz%9RDh18ouOPl338t-BAx*ejvC5zj7H|)7w#F5zAyDP%+ z^IyiUbW38~QGd+<5muQ#50msdxFMJK+&_?2Vm=ig@#>tJr2#6_vYyUz-zD{KODE(X z4!*dyGJV!isaCU~?HkH1`re&Ia~E&Z;SnkT(!At@S<j5(A)ECsy~%)FVt)^d4lZh! zKHm|1Sa;z2q3F}q6(9Ypn7~|8(Hma(yWl&-?d6Oj%-cI$L@H;H)TBn$R@NY+=GkfX z4=`vjIoLsZOTpp8Y+jGFfhduBrA;Iuza5mO(GmaCBWCXQ-MR-v08#4vO+3LssMNDQ z0XTz}&+2o2PG{aQs4N)771k%+8MOC_SgCBzXym4Bg)Pg#abo%p{Imr)&s*KMvGB^~ z>lP(qRhK{ys77A$m0B5Y$n{WtysBIFnEFd?ftmxU)NeKt58k_xgaWY3b=t;|*oYOk zR4pH#jw)XceN)a;NubI27DZ3Yvun#YB-ae3ret@}4=KJ3lJ-A0&gb{LDAS6heDEAs z$U$xjO<9a!Kx^izt(<x0;|s35*+9ej;xq4KR5Afl(kO!?Cqg^0p|4L5Ry6Um@1GxI zI)N~jU|q3oUsO=vo}<92mIy*<h#D(oR~2cr69mk)FF#U)#D_5tRMB0DorYM#PHB8r z5e8cV#Rd_E5C$VeNs*yH`Q9k~VUy_hV<gVx@_-Ek+8*>A&=8w!^;?$@>8UK30TVW< zh+wRS(#m^WQj0JK_>>>IILCE`#`7*8C(HVUvmXAubVXZ0TVT;fFg63mZtbwwHuLUv z97bFut;Yp7KGmGF46q!5?{7KpEDuZI&J0ljnBn!D_(DnQ&`XeKyk5um;G!D_SRp6< zX+8<db@KF@9D?50%AC(>kk`*oOB+D5q)SN(`f2W}(__nEUE!Q31wu{A!LD_Gy9hkR z9>T{hy(lSr>le9}S{`QpJKh!mf>F;}MbEGws2Qc+<%lHp^1bf|uN6nk7LT|_^FAQG zt;<N&Jk%|RqRv$Q^USF2&`*L$Z9%Xcz7Tp-_9#^e<lEzj2cp71oGpTjjtD5iK%K_J z@e+WY^hy6Kbq^iA0GyM`t26eEf9pMRSf?5xFh}L}>CDTQM+qo2y30&rm`u$E;QViq zPM!-u-IFAR0mCCuaoAVi{N>4om^Nlq-V1WkcfXWpqt02ZQTdN^TY48}5k*(0iGRY8 zCS0vxTk}Wh-Q%HiGqZ9qH!c^`%E_4VqN_ST6vr<8LRS>e1mL%i9m5<xNI3NI9)eGb zw<ft{MDf3k1+)YBM9Mk$Yzx!GXohNMiYzO88OFnkI6GIvh}g57DbF9$x6E!6_M{{@ z#PlslNij)}BcIJ^+ye91^+*y-1^~m<p_Au^Z0Cgp(a-3w!x?5hqLt`-ihzx(JqwJ# zzQuu?jfEZ7pk8>xQ_tu;U5*FR=jdF2+)r`)y06{Kc~$NXGj)Y0Uia+B<Z%#Vd?s(! zIbA_#ahQ9?jqi9T1i3TpW|q<t$xFKTd?jax8KG8^du{yzd3k_B?aYT=jSh)dw|X?f z$)Cx0%`Rthm3B)#qhsE2AN#J+=}xL@vYSF*62lDR>fLHm8QYKhc4qKI21xz(kmo^! z*wEL;b>IU*wf?!=m&fqKVZbJ{GWsPr5!U+j*_YK6Oe^RSKeFgy9t=e(7kiX$zK2E< z4L?JD4&!PqK6^R5EK1qBg+Ic6E_u#r`=MwRU*@Ov84TX6;!NfxBl8otQRHNd^V{9^ z98OD_gnW+%Wi+gOLQps|_HIHquH#9;`mb;JrYxE!_77ll6Tu3d+ci&KtA7+XWWVgA zyz}X_-7AQ(_L-cSh!3a_^MXA%EzN=kA3(6x7pv~h&dw66uUL=6=+{pxJ;IU@U%Q=n z7c6BsB5P)5m3boD{#@I7)?aPa^`s-KGt=#vuvuRJ3HGZULKdGUrIFpZt<RaR^M5W+ z)tKS5TR4krbU-{%{O<&A6+v!q^>5xvO>R*4Ut6L>-~V3Zd6XbRl#1Xi`E7(1@<s_Y zqzH@pZ+uiQRG5FAQ)o+*CuBksxmuw_vgrBeA{Rn`J;pwK=hkIoZux*KO$>}F;$q%S z*nYIiUDvM$#^<-Es)buK-d5S_I|}SZMd0OqZ)JO!2N#LyV-rHvEfC8xG=zsuD5|)n zaJSMyMImUi!EHJ?7cSIM#m0wM3@8f`^5~`%Vrm#1Qg^=h1JcIsJO^b%o@VvErq>la zY?=?n{?SY!6D#l86S!S$<XVl&)vTiCA05Yz9HU9Y9GxyH0dZ0QWMOUX$JEhIz|8hv z+^U0Q-8?r#pN6C?GCbR6b4#Id2Vg4FQ)~;TyTAf-Z7W~rVxAUgE-3XojXP}0URqZC zxjTd?`mIZ7Bc>6__1=Tt1rb%rFtC&2$L+NPHAsjdLB6%(b3?eyYI~!tAQ<*s_viJ| zOYcW36B83_d@gGY1j~)gt^iQPp)C0N$Zlc1;?*x6TBK7k!k6=@{nX}XPBuQ_K~!&M zy<Dq3L|wU}0`3jCYE&e?VqxrE&EcR%{9V`psa(w-cl1)RyCrX{ZR-dc-(fO>!qFKG zb3>2TR?lC~b314-b8YOO5B_yVhLt)n<hu_V_PIZtN}pR;>7%M3!x@3XAk*VH{F2V~ z^oL{M>$U|nyxw1UZ$iS+mfvw1E<}<8;ATEc%s>OK>&f9BuA9;d@~ybukE-LA<mGhX z$t$ldA0^%X8Gwq9`{zxu6(6coWc%3pyng_$0ppO1G1+f#ojE*3suPCi=_hixJ8Ps6 z2bEc?^Mwa~xbbF0BUs`(ur+rs<Vqj8i0Pq}*>F~2EWRZIGy(T(bIE)+%2M8x?B}^} zY?;ML0y393mY2iU`%;8c(t5PDHFE7ciF?CP)f8vm`23~07uB?&+rNVy80>Z`T3E1d z0sJ1HYibiq0kyMbWzusLdAtmt{kBmX4lb$-MM><nwIcSn7U?;0VW_Z{hfVI|YFU+U zF5K__eLOeTfT65_oT6n<@zM;HjQd-TF&zQhX9dmBjP>>P!BuS^6-3p*8UH2fNjnQ+ zri;S3r?SeXt0St_*xE;PF?ePX${(Y-<~5D%yp&@oxVQc?_H}JrbM2tLy?q$#h+uP% z%4F<KPTCm)4G<vUn{GQ4!4usx+j2NGyUGoGX_jGwLr%^5cws6g{jIL{udjPWp~2R+ zIb1~>&5yfmh448+I3jS9YTfQBZk{e1iRCFS7x`(mr8@%bu1kok^)C6XkH83UZmZbr zuFG&?T&-p#9TVsgjkdukikEVZhC}TuWikEI_soOvMFwf&M%@W`n_`p6&h8t_0d*`8 zu7FI3u#mH@=z|W_Etu$#+Q&o*8nlH~cCbq*_~4o4w6bZ#w8QrYFl<ka5qIc0n|)!e za`W0}UC77@i#@gRP;B8H0d&uwej;J*fG6^oSJRJ5WwDSSuupR3G7zBDD(l^tzB$<# z9bB1ro#P`XW{yE>_C-D&!=m-Q<QamEAsNcS%IK~LW|Pab<0W91<@kymJtQ#5U!gSH z4RUAeI0zoo($WEJRfx8#yHtyWCCg=>bv6UvbKFnb)>=;Jo9YsaP|Psf!(o#Xb?&E7 zpi~m92Qd|{B6ibD>(2X8(Y$B$5-CqJf&CqA<IiukO;z*GtTOgN8G0d0f5)A^6rd20 z4@D{MV~UHZIk=pGCK?63m)0Y;B|7bqy8lDiltp#eD84!a4-tx)n`ue}ffm?DI$z}$ zVS?Y6AcDZ2Bm*VGmzecq{fc00C-&EQLoWe24}Tpwj5L%2EN6$Pq!Gmd*UF#d4(zs# zuvZN9qI-FEP#5ye82#1H=nJ}<@A7Dc-}ZQH%<WG5%x4gM3lj>KSFasBj#M;8F!3+} z%pPsolEkD?=}s?J|Mp2!tW1L;m@=qVe*ExQf}fftHTmQ@3;o!h2Vfnk+~N|ssG4AR z*aiD=cc}Q^waw3C?0qczq#Lt8c^Kv$OTyO1kR%mBrASA*uixck#^uhRyqBAmS>!&= zdw6haqQNvnONp!8*`vNdu3QlLB_Y52l5<GY#HE-QeZ}&4-k2BsI&V&s4+S9!7{F?% z$Y9t_yCaWa7uwmYZBC`F3wTEtUTJJ|8#jZ+S;>wotKC8CZ^Y=^@&{hejKJ@*6aO$r zP;Mc@Oh*dk&(X3~eF;Lf;b8r@yBbX_&N*K0b5?wkD{EY9|NC0BG~!1%t6JC&bq-&v z+;4sxISI?N*rh*3=6L(FZcD+i-&-?1rcYuFRKVfON<=bMRexx?w$=I_9pE56GxKp= z&M(hF*Syv~q;K&txVE1itFaeWWP1RGK4R@0MR(P|1jrdnIKFVSoeQ*__q722)F%z> z4U<+5*VbMsAahX$<Be~T>#j<T8&Xy&nT_<Izdu2bLrz(UlHumVm~$C&Ixe8vYLzG& z1Ows=4vi{a#>6ERu*#g2^kxrAUOkoRt7-iFr2kCyhz7hgAo_)Cd`UaAiBoyj6d2yf zyz`+9>SZ%#?qf|Tf09L7;&s0O{r1A{0LWSI=3Pq*4~clpdZ#5U<d6#2NV%GLi+c80 z-W+}n=QXFNyX&RruSyKhWM41%I9crhxli9QNOe)mvogXbROU~3&Z{b0s~V;TxJe@) zm52><d17IN12Bkn(OQa_)RQ9o*%aM=H{oV`Y&-2Hn1yVxtwy?mQohCOc~p3QiG@OR znLH!~BufFua+tBU-gP+9Ylf+t_3uWsxEfDuBy~?!OeAo{ri~1JtPm$@*ifzW8d9Tv zt%rFCVgJZILDcttQos})?SLF>BpjrxJ@;@c><(PPfw$sn$=gg9bAB7LAe6JL=ID|? zV|p4w^vOp_7RErwo%Cc2IqNA1Nd#os{q2Q>u^Li-xMNbp!0&Kvmue1Kxmj#H-Ta7$ z$4>P1z^3cq=p{WmBc;FGfgEHZKgnrntPS!hohX?o94O-7UNgJs9yKv`h%-qlA0^G~ z3uh0lA3i8w6G@uiA1*cp4@&acYW~^HQKSx`+^%FE1dPX-QQb-lK6rlvvETE6`y5`G z_%0XnrL(i;qWb`8{b~;pI62Ov^0lcXKg|!QZ$K%@4EIo5ukop@O245&>eZOdW4a+% z3#wT73VN9LxP_`?Rhdxx4B&2)fg3gI=eS1m1JYX31|fta`&fB>=)0;y_vSHV*|fBZ zwT5qAND;cS2c@Trnb-d)1g||TC0!r3h&XbZ+m&S2G&aZ~u7V-rwSgv~K4rbjq?P5` z;tz`^g|ASTTP}W!(eo%YKxBhffl_O+la=pPddHQnof`^DF84-c6CCTRgewk^{N8)v ztu{h^zqhu`{j?NwlFJ9=p5n(n2Z_W&KkQ|)&D<@EaMUh$bAlSH{B4(mfU8Vg?M$*v z$y?3&_sJyP9a?em(Sx)QEIh)<Ikg)Gz7LkAf>y&U8~8IO%=t0h`a{}8n_Wx9iZM6> z#G_1TZ7?Abrhro#C7I_hdtQ&u(rn=v6b2wrULVwCgzrRaQJ!5az3jh0xTew<(&TWx z)E8k4$I&3~gyMHaX`uqEj=OYnVqeXw3k42d4f~*O<LahcIqu(_2~QP2u!Dt#Nxzbo z`%Ed#Ee^TZHe}~I2H9!uTiT{9Q0VjqEY}7o+wH}*Z<pM*r4cAPf91B{qrC;w7Q2xl zf!_Wo?@@&7=GE&*ybq8fuGK$TzXjmq!zF3tO4pq;&dZ1l2-7X3so^iZ94>K7>zo$u zx%$ea3?J@+wTn7GhU{eL3xQiIm8GY0R_|aHw*jX4Lg+}f^lN=(E^qzOGnFoPC}&px zhVqk<YNk}C?cf7{s`>s*pUZBo#P|vDbl}YI^GSNEMr2f@0n292Y??4a0@(-~HsdQG zVq;@9_E)kzVs!|bgt*03tM{qTOO@CvGy7@pdhUm^Y+TWeg|JTvxbo83soXqu4Fb!D z<^z{0bXPM>95c7?o4BN-Ml5id*70Wy*=?NHGp5)asqRuecPU6#Qtw;KY7eZF$OeGa z*d>e@9s2Xre*9FlNAhFC;aXo}Q&UqL_T`EXd|8In7#MQwAm+vE@Vt%iAIS;5gO>I7 z(7H<Kda#2Hb<Bykgw?1<Fp<r!QJ(~!sXYix#NoP*H&QWu5(4H-ZX6oft+~=2HZq<f zTA7Gl1N7Y5(=N89jFbMn`vsQJjd~(e{-Cie*gdaaL(bTt($L?Osv31+*4mA++pIX= zqLM;+Q+z<QBax)rs{He?naSvb3$807E6fU)!kJ&slixwFKFy#M>gz+wnFRk>q9G$B zQ009V<=q|qgSiFCh!(U7u4`;;@>tr&p>(U25^v46W;AF#n51mkSF+Vu&NaT}X^T1t zC!@1mQEsOo$)}f<i2~>0*MoJEj~7%`ly)(wnK^Zl>S|9C+%`5{5k9q-zYO5xjXz~7 zW;{%jzVe<&!de*pIcLClK5DaUaV?hv{E9zcMrpob$s+W6LfmF?^*@$GzW2|5uPi<{ zT#4+d*Xx?(5tB?(r>Y{4>W-<~#S~#*@*(mW#AB@+9(*Le9S8}Yv+!erQLm9r30aKx zyRu8OwlOOJKA=VLz11xA!PdMvDpDA@!71EcG1hi)K>LqmJ^;*97|RN|UDYA9*x1g| zS)HEXiN!~v+mHCY@Ab)q;uZ$wA4Na9S_GPAc17u}K5k(f%BrEiHZAns_p?FR&F0@t zxD&BTiJN^Y+#UXBQ#hhzdx^S09T+!$A^&3RMJWWQ?>v5#3eEnHY<{m-o&YKpEb=Eq zMJu7PjV9ZCV~vd_0zkO|*)36Cq>*^e0GT{(1OX0%_X7`?LavlOV1lEAE>mASd~dzX zegHTHj6>JU#`m~v)7B~sAd(2wff#8^PVV#l^G-etll-IU6vAe?F`%~j>`EZ#Mqx_p z36b*h{_voPSX<FNmp^ab`VgZN>IeOm(-?BC-D`I9qCqpK>Ytq!24?Ptt{>4=FVb2z zbS_T}lkBh;zTJ?rduB8ubns&>64P|H)fH2nhdKh%a+i8s{HWf$bjq>Rm;d}^WD}@C zYX|qp*VLixT<!B${BuJ-aY`H?NEt;~0e1V2(1@8<MB_lqhEe`qFdQ!sD>bBxrG;;X zUR(yp9aDSM=9+o*fU!HrzR^lEy(SnAgp>n_X~LB<dT^RzzIG?E*c8u$5?da5?MOe$ zmL>XS)tq34#I8(Erf_0%V54eNtnBq<EVoNU)1N)Gc25s^!Te@cUtzPX0)2mf-+!Cx z;xAHf@g3oMLpLeKgs_ne@{$;%*aRLL^~~(6RoBiZf6pd*Nr;pSx?;gdYtWbQeZX)X zd2!z-#SK(wF%1&@GUJ?8v>YN+!))o_W5jN{l_q9{pt_%9`#q)_2+XRVD;TTAn~NJ8 z-XR;zImWml3mJ#J0&Qj8;;@=AP*=9);fc?GDdT|?Hk^e+-%>?qeXNrk5aYDUnDuOC zFa#p;*{e&CV)JjJ_LS$xV7da7=|bLZ7rv2wRd#sEmi7Q6<BI6GX<AlDvjrja4{w-4 zk45C_`1C-}+Hv}-zYy|Wo396QA6&slsP5n@dd1~(8%VRhT8eosl7Duq(ye-moeaOD zS(buEvllH=V#C#&81oA$<lzcF;D>IjR)`Mr(D3l3qz|(UHt)pCzefREscr@I3-AE1 z^N5riV&gF2sj2Dd3lGo8h@RJqpAo-BjHHE!VL9b-i6ZYlw*7pUWFlTNink9@aZ||& z@*q{F?Z4lc&_7%vFBG;?T>WwOAss2)?!Ik1ul9z)SYz=tj0&X3@JFT8Dngb1inW-P z)p6P<>&=FQB{602h8M33quP6B;OLM_+*~Z2HFV5xoHZFSOUF$oIx}U)Omp|mf3Y{B z!HYH-)H0FT7o!R{&t40BeOEqLvj8q<X_7%pO#0+nR8lh!Ju?1cQTTHQE@FF2^FvYV z(L`WI2p&|Co`mo7AxdXV{-rTDdvp--8(}F^(s*NpdAE4)jlEYv`}qb`I^=%BxU7s2 z<o={7-m2HH4;P{0|MPxAKFE*yP1b6rGYzU?6X9~$*X%kEWsanvH%Zw~ad!Z!dF9Qd z(1+Gtts2H1_aBXF$*&rj^LI`%BmX@*U+gH>8E>v_r<PSX#Vopc=+UDDKIG}^c$qxg z&5Aod!07igAm%7bn5EqAU%;<%G}mwS+A(ijD#5^oWOsjh8CqWtZ#OlixVfd&a304y zjB;KJZO_PhZk+VFB5Fg5RIm_fK9VKmaG!8Rg=a1b)tQSN1Alq@TWjCUigr#c?oPxc zLZ)WAl`*%_3y8R-DG8Q{xr!<_s4-%V4^pL_(JrnV!*eGlml*q}o@<sxgv-@$T88q) zy!cQwwv3<2H$boMOyo+=eB!o#E}4Grc?L`+C+9dYgh)oLumZQlL{xGFl^*@v#+`Z; z$Mx;W^lc3BNB^lp-zFQHJY~AHujY@cBx!qI6YE$ZL&RM%e5uq_|FP#sq^*GV&d6rT zb7xbXu#86Aqe9Zjix)OTz~0O;THox7dq_yQZKDYF<|>TaOsLkwX10$horg(Q6Raa6 z<4fMW-PP*66xxt!ier`aelo(k{{RpVw`3^e9+keG>Qc%&6Dgzmqi<zcaf;17SsVl_ z8(8^Q(3j$wasz*;Mqzu^&V^K%SBctpt^Gg6O+X~ZdDjpV*qnE}AhL_k6#rNf8SDca zd0H-+ZlVN7MX#z~K~Y``E<O!)I`w&ux06hL{g=3^Ay)yD9h{cFXAtf_-YSh=TfH|) zJaI)*#$<5^<WQu$6xgg&#ZmCh;z#2P`(!JnNx@m*^ikJ5;&^$18;bAU@ZTTGl78dx zw9gOa>UmnTYLC;v^XDcqi5;)Vzl2fT3<Sl|MR!e9L&N)OLd4*B<&l%cDJdzN3wa+t zl^xA~v@}>}&38?Ylb<T*kFnFoSX3Z|!fHBrwY|#GTKhOb+q_`RM;bshLXp&1x0C5p zXCD{5P=zGJ%ar~uFc5zaV?G1|v)=ZO_dmWlYs%V6nP9(Xq%=;8^Z&10;Tnhmn)Fl_ zNlTzxt0(K>JMz)|pGSl^eGueCrd#ReRK0m#zuxyO*LhzqJi)zs>{9apM}*RiuL>sr zfc7BYD@W1Ztn`Tmn|WdPL(6NI@U>2#A)s2V-CkWBB@GO!u5d-64pfH%eiJm*NK>-E z;TBV7)~lx09;$fa17=jL9Z>7K$Ut~<;_?d%8U57dfDf}M7H{8hr<w~3ZeB*R7=1;0 zCQj_XlO%Yna7wFVp4)qbB%p#xt~*m>of%Yam8A=Z$czbgy{ZpBD`9RZwfJmuer6S> zW4M?QmnG)$Id!*Az4hMP`LHt;#dmsSHr4beDvAMB1jldD9rCbn7_$Cx_NRUz^N6v@ z(1`tn=_13(HGaXNa@>tjjLT2-q)mOG1@qoVWQ=a&q3vy$p|zCI)jYcn$1#p|!u#?+ zragXEbSA0meGg7Pz;{&A;=2^gy$h;F>rGe|)0gS2U1S&3OD$M4G;CgW8_pg`WiBFX z_u<I{GgKqA);LZt&>%Iv_j+J{W?)i1C^JTzq3%Pw(!H`bNToNo>3;vXg{}V9Dw~d( z+<$T0Mc8Tnd-IwFLd&!<5A5ZepUU`gih#^JR`tPFfllPDfuOwWjrk0YbvF-SgsUk- zZ8e-dn8vrU9IYUya%9JukiK<m=<VKt;Fp3g%}EM8<yMZaBE~+Z!#i`IUPluYF7!^V z9B-7ZvJA8S1plvnyY;|lA4i?p1xgub_g;zxi}T-ee&RT>HL+RyyHU#dg1ydn#?lx> zI<l`%h(~EKmp+K$AjQ@{<0icrfxm7K7c@n^0L9gQR*nJn)vIv><;s6gVeaqbm)rtk zbG>Y$%Mu9vLmvL^;i5#d7|dvwcPp2ma)g6zsM@z}8qhG=jFt;E@u7@gx%8>8{kU8} z_TO3ntEW;Z%C+q>-@cZ2ZdD&MW9C+1UZcO{axJF){?VRBTQJz$?pn#qhW)7@Stbhl zduQAxKG&7GAfiPgso9?}RPRxFO<Io%54s7fio}OsE-y8hxh8P4=fRq`%muj^Oevhk zZ#G`xjJF|_9q@Pq*)2F3B(-#;`OGcQhsE#LhNMyeT9>s2W`0_hms-1{VNu}jHJLPW zQf<5V_eN^Jg58><Rd9wY(W&mx<XaWzx#yZ4qIKu}<)(_x)N}-odTp2aOv}m|pyu?< z47IE(Q}l;FkdE(<%QV?sA_}a6)fWzgn9)Jjc(vz%lEP14RagJLCuWbSy%(u#7q%DT z1nT~E6Xt$bIi}Ip)%nH4$(BFUn~@1uPBjOVH@%TRG+}#m{Ff9ADIefCI!gEv28Fsk zaGjPYP<$PqAbC@w8x#7h>*fs(YjeXWV+tIf3SHw09gNA@ootb+pfUvuOmKOVz{hOY zctkEd`l2RW@+Uq$nvw0e+sGPRho@NXu?wQ_-@l{?_+4VtyVM8?%3E{HgC_Sj>i%q~ zUgSCvH5cp-nBsUW_3u=bxxn^|L*zg36yQ49c7lyP!B&9nE3fu{pzE4@zrcy_d<j`h zwQrbFHZ}<%=YN}u{okhlYyH1O{`YLev3SIT{ioP;Rt%3zYuNO4OtdSWJdgZ8>cKS! diff --git a/Pics/SatNOGS_PSU.png b/Pics/SatNOGS_PSU.png deleted file mode 100644 index c39f0a998004723b7f11b1c4449f6a18704354e5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 22903 zcmZs@2Rzk({6BgsC22{>imYs9&rr6IJ&v6{4$k2Sp_EESIN1)_dvlIsCK<;#vJRbd zP&xJrA^Uz#-`~BD`@8qAN9S~o&wPDe>-l`X-bFpp*SvCx<q`-4x}vS6W&{GAZ3KbN zq+YxLd{go|;WhAf24<xB5LAg{TLL~@aMaaQ1D#U-d}=L10N>E~YFWZSpxd34zh_!f zQV778)c)Ff>eSOTH)-YAIKjHWaY4-f>K6Wwe7wD#A^xC8P-h2!XD7};cYildO>Mm= z<}a@@fk2!fZ8cSsXN0v@RX1+S^o_%fRpuv2L3*Yc<;-8)X3Kx54n9ULMgR7?5hU^0 z!9NG<>;*AS=~=NJ$W;k6=4o|%?9IoS^8JHDx^0*E($7nX*1BFjR=<qQU(*D))mT5@ zr8~{<gBx}3`On+%8~jz{=en8r(CGD_L)Ao79Bw$l3Hf&^TC8omRmNw!g$}rA(C0V4 zZ&N7$0A08K_eKR=Ch*g7p7N^Vd*=W5;s3PV|7oZHwcY>v@IMFVPg0)cP1P?N{izO| z<VD)jPH@Y`i=yG5fKS!T*Ezu)`TUf#gCb}tuP=U_rMz(h&ky*yC`x(tx^H4we2XLW zkD&`O#V!m>O9g!S;s;*W!#nCqgi0bg$aejhvLUFW;v<PM$@DO+?$0^k<0M~iN5u<L zx>kkWb*e2zgRF%DlycaStPJwM8;)$~t;nZ|l7f2ndYo?cizQHu^MWd$zuXeC%_Chl zm2Ah2$rqv=nX@q|0sQFJo5XwwnpL|p;XCKWn{RU1deRo(k||fr+31->bh;G5v)`62 zh?1Uyn5$mTM`dJM^Du$z{hrSZc}aLm!7ixyc6`Zay`|kShlj{a?n!xL7b_Qn<mKc9 zoWqd%pEIaHNd*(z$)fLEacMl*D>he<4!`2Y>3Ztrh^~jZ`{r&qP}z?V;IRgE_TSU{ zE5E!GO#1;5Q=MM_s=lkc-dRKg1tJDOnPPu%p+VKLi7SU;JnuAa5Iqd~ymLbhLOkia z$(f_`DblBTzb|4d(v&~1UhlA>tLrU_>OE)^>+useW=s@LkB%mtRSErihhH74M<prn z-n(Jk+%7J2EX=TZR&99LR68#X_R0R>$cQkMS(h}#Y(M^l&r2`$VmY=<uh08B6_o96 z@|$=KGbCWpRMGcwvyXc#<SI5~_5Jxos*VTbjM2ow!Iw7OnbA10iqIsAUC>&P(Pa_= zn&E}!4Yc~79RV}Gj7X*8gFz69spHc$^14jji{)7nX$vX%w)b-9%4v;mnhB#&)daNm zY^H#MNRF05A}#112l5j=;<FR3v<U6l00Vd57g=biKpi;PWgldF7=-jI#koO|=!F@m zl}8D~#w->6(9k=`-Ni*M&7=E(BhESYS0+q21-x#71J@s0A6>VaY08aq@3=VXqBi=@ zQ6Xl5oQxzcABI72-h@mMA+zFp%h@qo>6zABehvsub%!qT3<mcr5u9e!GTweG@Mg?$ zwDr3Spcka>IXuo%>C%VX26;>yVU9&9$gsUWlO%3%m?7snH<n&{5$h@M)ihPvq;Edh zKd<I|9)Zv;J<HixUA;)yM`7jB+E~3Z1n^v4@_`tx#rA3MkezM+W>~lLmdw=`&bK7% zL64lURW0(%iGS=*RUx=_>8Ei$yUN94fM36Jq7kdRSTNqvKum;sib1iNws7%tFT5QR zZaBEhp|e_|t^};^NJS@)jy&m%+H6qliJkX(ttj*^@p8J_5O4g^x1oyd<_aep?sGe@ zF0Q9*H`@=K#HUTUCC-TV$4wfYV**LDD=2l4NjRP1ojt3Gg3PTU!az>N?n9V<$Vt8c zU|m|oz><zmr46-AnLfl;Ukn7wM+~Gj<e{-UL4*S#%W=jVvtqClk)otDnCH-tzH6Pk zTtz{VF%V<m2yNruGFZj{R%)$nV*!ulRXPLu)JS4UOq4M|ZaFX1&&Ot`z&u55as60z zsDtqx>Oj53j3W&sO6&V+hPvRI2|bh+MC>hht<uO#cyox@N`vb?!p{~oxQ-7a1GBJl z3Wxi%2K^Xhva#1txFn08+4bwz7LTEI`TogAR%AvHD$Nq#AGD>r*6V#6&OW6+pDMM` zvKjsUS;BtEl@Fzg)pYT>)h<{)Q7wu)uQ_8ym{QMtM#aPBA6)MIB8PTgf!%sjlQ~_h zJ%4$Donr(FDH@!)%>n9&9O03v!Z{Mqeo)96&^)(5lndhA;mwo!OrjGK+T7P6&Lbn@ zD*KHr#i|a4<ax^9P^dZM#gfl&q6Rh4*Uz0nL>JpE;MdJBsQH|+2QR{#6O~2ZCZ&v~ z^S*UY%wXJ+RLD)L*{M)k$S1$K^i;tfhk$u1pVrP_e(~eW>vF;kPpv-SSqN*07fC(& zgjOB=GRHKCYFSAS|D>Y-!|A<Oay|7Wm%gR*D!w@;-)*FUvwW)bmw!3m$|%?;H!hp# zo?HFO_fzs{y6jqZ@~GgHMg+ppTE;)+fV8&X-uOI+`tTYbka@zn(UwCT_6Sbu!02mN zK_H?AnmC@dn>#bO6wDp^%J({AvOW{6ZFtqwN`E73S4U}lW(^*2^L7^*fAqW|oLBh| z?YJR&PI~`H+u()XD@uv*LXrtV74!a~3TzAcS9i=n6|yhri1i<-6p9+WFoWrJBBgP& zz1Kn;#^boYNsmI~l!AIiI2)gYzneY_(o(7_(*HL6jk%Ove?I?0^3NtH3}zN<EgE8e zF9e6}*(eJaS`gUQ%?KY_88qd%^=Uai_XX)JXe_bAJ}hk&-n<oRr&J}=fb&Y&y*qXH zQm1c8!jlkO9gx|kdPRo8lWOlM$ruEVqU%;#Q%^A9emVc8tUS}S8OX;%^y(4|-0VvO zazc{r^>Bk}5=^uyNlYR4OayJO2<UUBC%8(cT}wT}bq?(^9;K)AOB@DqMZ)k$$q6up z3Y;hqPr!C6=kGE3F;@m4frt@g`RY`67KU<`NrQoRGo$gBfb4pv<~%4BRsrUDpTQT_ zw$YEc8@az%_BvG)p|4de6~5)Q!0joc@ZWaD>;X5wm<};-o}*&A4hFs8tqO<Vlv(=| zlpfCz`z=Xny0)7NM8$+T51PEUB^suKVxa4(?E&LcO@r2C48QJ2y!`Ry@>wg5wp&KD zpm<TP5Bj(D>Xk!}{7dacVJ*(FG@y$RUNW5Sr@F@(5TD=F6V)I57tgLuZ>;PGo@=nu zFTc8)htNz=!3pM?ADSCxlt?J_KeK$ww=#y4=L6;c*Vg=WPUTBt-wAFV0b&x=mq7UW zE$SrYCU(tDa-?$#rSF3q_n1mPXgc-T+u0vd&c2Szg?j2jz}~IfYYN6ax~$tRep!}9 zs9rXQ{nUxD1h)gO6Hv4&rNxmx%Z*O_<BP5cS86Rv-d17JIX5w}iOV_JpT|@xhZLCG z)z4^zzB2Jcad!kuwY5sMR2covd&P7xyW+ER)O<3wInu2-EjLzWdu(<McY9_UOG|!J z+4lE$G(i(e2Lp_3KkGMjuWnFn8h<~fyeA8u9h5y(dg$FhgZEwhO5T08@Z|Jl>m}QQ zH1ac|=!c7NcHlZ`c>TETjZeW?*cHTVtOmCfOKd8s3Bo@`GXA8JH>@=z^{r<wN#2%_ z+N1DRzEy7GRawubcL22}3u+qD-mDzMgZ8ME70Jb3xc=n)`tKW{&rM{M)?uMyw&@<x zEQZ9w)?-)~RPytiq32{Qxt2dx<55Vc&#xWnSt*t)u^M@4^MS#z+cb6G&17`Yx7Z2_ zMNDX#zdSPXlG*&#SiV3z{ssuda=i;{he^y)!Z!+#JASTo+94$1=x~futDL#+wHsA~ zC$g?6k@FJ06(ZQeaKem9-Y~zSS-LfvXw)v&K=hgEF$*pDP)|iM(TY~qrONlOhq&Lm z7v+>q`eZ!YE#*My9kxo{DA|4f6iplfp7i+miP6akVeQFr8+q(+$R#MPX~fO*APWqs z@Hi{r_s~H~;Hf*hU<XXb+zfqCwvN71Zxt7;WU$c|qIvE1s;7_7b5%=mmIrL|O%Q5{ z0{UC)w_D<QY;0n=JKnrp(Phz$i*~#{la;qW<Hr`M8Rl(!Sg$7_y)W5jV|b?Y8l5%B zg2p{zM4iVQq2F&1a@%q!fXE|bDMk{V{qtvYbhDf0aO7uk$<LEC$v?RhxL&us;cq<~ z^|__g?%{|^R*%2xV2&9MyLdkBmuDNsVn-M%iK;y4D!X<Zo0#0Z!Mbky{&M8)brb`M zYRh^9^?|8(_}NkCumi8yy}B-ZGBKYFv65uMr~~~wRK4P{wvaK@Twq|^M7?*pP9zcR zB#~M4A$z&b?s=iugCoaGi4e(KcGcsFRxIuMgZ(aE=<2%$BP&1ReD-oTt)TgM3tu+v zO9dzbORPrl!Qaz<b}{dPg^dR(d$!<53tn6c_+IbvNHGJdg(Q-26wmP)pxrON%m<aK zvcQ3J%NEsLu=}P0g4TZ=>%1F3d!>^I!wo~>Cz#tv#YCbnrGbRlW#p6e5t1P-WS47b zs6uE<{rz_NeVt>C=V5gNHSeL|<QNz4HbeXoBa&4r46yHuNS;6jCZhuFb-qyTNq>x9 zXTA{I@{^{RnFk+aHI}Y1a>p{>7<L=z#}dng(Pf+!;+?`(>RFe?w$c*|DOlpM7NLBr zNoQD?E200nQlZjr6S-X!>e>H^F23IQNZqqOnUc@S)88fENsLzWLF|7XoqpTbR*8&j z5g;DUPu*SgAF<13-cYWXDb1DuFiO%LF;h+hL4lW2N`sE$Mz+q_+DP6i{?l?UtL|t0 zEOmm=4BmX__hjL!Z9&^1=R$$Uh(Y(gG<@>M-rNu9$5<&C|DhjXIg7OFK`?b-0!y{J z!ORd>j3u$gtilc{z|uO{pC@8m$f(p{vzuyqV3ff7qKr?j$ax?~)csQ3`_-1=soKc4 z$Jm0aK){(mqsIycS7bg+4mPKK5udML4g*!Q-?Ds6uLdKQf06fTx157B(>VfpEm_0R zLAdV0dhYSIp!~r0bF(QQ`qYIl;ubZJ{c22k=TLFmQcy7JF(MZP(oG=+Ew_oLKW!>! z8+TU9u06bC-?eo=dfw=txvbB_D~K(JoKv39e4t!8WsMeIwivzUq1<i2743NwoBP6o zju)m_0+B<m89eae#kKnA>{S%kFN<BkL@@S5u#_LNK)$;>tOmSw-l0DkTs6T>!GH0* z_pnV3MlIc|<qu6L=7fz-cd9!Fvj#q@>+;2mI|PNA@izBUpyi8L1UO`&=HBHw**L(% z1Y6ES@XsKNap=>~kV9ecvP-P{yv$IlDPmaMskToc&D8Q^k-9Qd)Vi6RU<}|GDg|jW zN_Pw(yjUQ#9r)2d|HTR5uls84?z52&#VA4oNoQpI#58BKaBz5_ssi=qu=C4PGgU~6 zAvGGe^RkQ+V+qZ;i`m{43d!1i%0+>!0>37=A=h##Fhi2X{qN6e-;ZOrfnBZibq!kX zCg$sZOJHp}_|+-q^1jJs@czZK`#Dwc$*iD(fZny*<sV$N{q!?IUuy<3Cruxv-2;J& z0YJB^<!J<Y#rdS)U40EGh{66_{H9+MXSHYRUjzL{&0)w^t{Wt8xR;VMf@T#>AxcBW z6HBF4Z9H>0x_^Lwl8db;;jS28%80D^<aV&3yow=^@fC*<8;8Ts;QEl^{YVGdJLvh- znZR)6(IfnR*IB{ctTD-vCSff@01?M>G4DLi+J&=eHVN~gwSxfAJq3|r31`GKaLh1n zkOjiIJM^T4jt|T#uC5nimxbl3`umb7X=#Ki=d%WFkd&?K)Q`_?r;2OQitXyRt5$<L zQtw?JUxP2K&rpD6Dk)h6V)+y`j@Qc536FZg+fsSHFEr`9m_Tm^mB1y?6K$F-zMlTc zg7Q+kv#3b@qRi20z{Wa8!XtvQVwVx!3E#O=wLI=FepQpy5qfgZT>d3%)1{9m)`MN1 z+iGtnuDH|AX|F5prJ?OCVWli;zGpt&NOaW{jn=WN<$yLvivQmA-yiKU*jXeeu*7y- zpl<de0z>4FE1opvlnuM(18KBS!vHbsZs%bu&9M5RM<O&vSRpQN-dO?$<gmAgoi$|n zm%+AT(uD~`_ortQ!5ZzR(JSJ-iZuU<L}w2Dp^VRrUScqR3MC~YeP*I^vyq=9;@a9` z>j_Y>f6L)1T)DLEcgbnV+4F$oy;t*3mYY6BAJ)iSxb)}gX%7Xr03~+p$+HE_44;-L z6fC-{ZjgO?1d|fGD~9tZV@D8Mdff=myc_av*t$c(_0nE=w!y!3F_v9>XFdtW17V$% z(xLa!+Tylh@XIc(JWSVcOki=R#ZIKUnxtG$f`<H<gZCUGCAd-_K@nc>$yeWArL&3F zzndD=KTSSw{|`?tALl>IzpuyBf7{3MX(hsm8AU$t(koZaYIGCyNqj^;x&?1}N#=gh zGi0t*)nh#bv39;T=6)F`TH9ey(dU=+ivUWKg%_>2ykU`Vax1ZM%1K=wA#lk^v4Hb? zpyjNH=Rj%8y5%q!Xg;k$lfkt-0fc!PQ~JL_I!M(_%TfB;ubUpHIwQ~OsC)c!iP2_v zdsz&crha-QZ`O9#FUYL0l^v*`>nkc}?|TnfjDKFv^&uOc9k5=m@%}8xL?2=!6LZ3l z^s^MOVDp<-;c+qPgDgBtz!9^Lf7LCT1e<-lFE<@D`}`rqm08RuZuf|%WZ3QIZP-+m z9JE;;W3wQueo!#wnt&Ydd-1R3af;S?%ABDh{78OugkO3;qS0Bx=MO&qBQYzGRqmkX z^eOv?xSg%el*qh%7e&pdG;8uUK~tM-gN2vvp8xH7Y&cOacR}@&s%(6IT936999vh< z=3?=I#2A}gDVUp1dhl#K!5YXdPlC3%n)(;b3mXlhpA^w-3C_3PKl|IPHZj2r3?wl` z(P(!`z8`sK7@IeCu|z3LB-f@$;eHl7c92EPXMoJMS8x7oKu`-}i@R?)1)W1_1*`D3 zU$)$~-C+Y<w3w}bnksko9T2)-s}%>9PV!|B+NvPvG9V*x=u-1Pj}L2}^AU%--|o3G z_2p?=4|>6k3PoJ&Gj8p=USrcrGCT2c)JxQ**w_rZAiyi_(_Xs}O{z42t{E?AKoB=b zCUxI{{H)<lW4Y!MGG)o5D1e5Wo}Jly%9Lel_65q-{|*vLhBJIX$N8V9lq<oKmBn^Z zjI}uxGvA!x#R$J?FLZ`r+HRcFD0!T*$q8xR9BM{0l-Oa(`y2_)Fx^W*PL_(HEt%d_ zJcOD9_z48^bRDK0@RC>6)ciTDcC(&-0Pee1CZ-uDuX<O<xO%g5pSuD;tV(No7aP-_ zg1uuUOUp^~SfK#l{)7(8Imxx%dcTo_%EO3iXBD8>g2v1sv5%EPk0f>IWg8X0rNJ2K zMZl1Z6g|p!remv{S2f>W>U;uO6nt`>zD1?OJ73DRrCu&Qb4p@Cm@mR54zdVTg}FEy zqOPUkld6g66NZH4rhk~jLjbLv^&qTtC~Py$HterBUkqHoEMeHPJLF`2n?Et*F<6$3 z9cO~;kJ;~fYZv3JuId$rBAHp$Ci6^NCfM;PixygrWZW&u;H&-zi0es&1OiaZg63VW zp<?D~te`Db`fVdY&ni)b`<MpjpybhAtX%p&fQYf)rg><%R8-5Muy~l^=9CF@rDM9v zlo2KTK*a@<E}MTgjg{nsZZ=nx%#(h(b+fwPEK9C__l|F2(!`kC)DB{PCqX+6*-*J& zoA)`0X;S2<NmPyro^`)yu*9wJYw5}lN@;xJiP0;A#KYgW7pH!t^jsu0#;9pR0lu)6 zIw|Z*G7ly?#?nbY=xJqM<;EUBaBjchmC4pG%A3yg(JJap$_Ih^<B9GCrSE%<4QbK? z0U&94zSN8w-Mb<eP1X;Yc^^~vPFeWTrJ`6lkrbKl?ON*_P0|&d6hCM{5Z$??s_g$^ z*Yfuyvy!Wj^Z|UDvl<lf)CCCU6VrHbl(++MmByIJ9jv#ltuVv2z9dp<Y}%@wB%#^k z9fg*L(!L-k6T+t${+VV{79)~AWA(=*_&X(OnYOi=W{#F-$tX0Ka{Pml8jxt~XD;Rv z{6>a31DnZG^MaOec2ZDRfN2$dbN?N{CND^pCXm=?JS#tRHk%sMUl*@ZHf!PuOtX8! z7*t+r8VKNQperI8k@3^m0k5jVMY7>f5#PFQ+Rl3fv%uudt?qjCDKcOnAOXev2oDo^ zlvP%ZU|yo3H~}Zx6G7bW#<0oJZ#`=WFQE9=gVX&5%#Gx`{Vrge9=}T;%uOJ!X-^r* zc>O$nSe9w!wa39{DHiKp`$G0ko^8sB;Cy#7(>gP;y>THiKRQ}BbmXsEXAXBs!ON8u z3Qm2#Z9S3QI#MP^Fl;aP%iFY#_3^pV{1lt?CpRcJJM=gG@wUt;0JmZON)%lFMhu*2 z<s%{*|6dm*$boI(tr{ri+q&qi%1-gOOcGpPH3!LQBQr+9!e)sN+@I%$&D^*f1c2HU z9;H*rr>VoxD{tehWd_(bWb9hsL1dtwf8W{|v>$c)6vm}U^}KENK3-XB{d;^t(@+vU z?6wG`L_+3`nLoyRY7ijTfm9$&$;3z}-K;l{?&{pV7vavc^K7B|n=F8N^M>6XLatpE z-PxLJyKSw6cauyH3Ih=J!CuO>-2Lx2%0Fjlfs0Fj4?+Q+`9CAWpe?Y!(jpFzn=34M z_MR==drxLevgXb~k@$F@CGUU#upt|lKG^Sut7J8^g&;6!cl5b(Rw&RM**_)k{+YKH zQEE);%Hi%|Hdl5-7ua9GH1JAZe#Fl4!I8pP7$!oMvS!01+W~^Z2)e?FQTL&?kODdw zko}slAV5^Cj?0=T_#P9DPkaEVYZn_PHPJ{REH<@@iejUP3%qhY{3EM$$K@=hRoa&+ z*ZfiC`!G?RjJKZLS&l}9ZJnG02nF^HHo<Resg<li@+zQzexxr{8f>rY3vKx@!~E7L z{NUAx9RrymN4Yu_X+AbZs!$0yDoH82+s9UV2f(_0^P%Z~sJO#-H}d&a7&z4dfd#K& zh|xnVb6)&Ij$%3%3p!Y3%3j)U9vVOBO|Vk+?=V~Owz1}pgP)fB4L+mP!p3l+_&mR+ zNBsg1Y^PJ#r|mOghteV)ewmZ0reEXS2oIafn;JpeDwLSGWbE<>PKRlzQD5244zKJS z{@ED(FqcKuNMn0TYi%<<WzeEIip<C^<~dOBK~ool(I03TnMZ3o>IOdyy+d(vp(0_7 z-t5wB5ztU;amPAB<*Q`VHyyc3yVS;F(mpQ8_j+gj28eecDG2qwpH3D*qb{ev0}}*J za)%rL@m(ul!yZt0L_o+I7dD1?f7faL=59tO@)XET82wfMX!3KJ&9f3zw<$84MH*0A zdRmNXV-6}aEF|DoZ4{*e=*e7%|GajdCyAb$8LIRnuEB-&Z<{*c{^z(1W9krZRYe#s zH+#*4+ToT?Sb&p^+yVvlY+AKI7Q5Hc<#Ilb?)P4^Q%;haA=q1yKmdN-Qjw7%JO^N- zh<lAmTH&F964X{1pmbju3>`drTichgKOXz=9Oc?ykdis0j1(O`d1@+l)sO_IL!hv! z`<wH|y5O`iBJ!sJ1Ax9$Jq!qHUKJ#G^HeH{h2T+E73N~s{QpQfA|bemCQ-$9$;tqc z9zPwVMA7z{e|OETaWj1N^jYp;CSE4t8`m20Q=LD6fltOx{pa@!8mKSnq*%WwRRQ`2 zkQXoV6C$lnP&-QzYgit7{VE^S7AUSZYj!2{{{H!S1-S3M6~}*fkK(>?%f;m-Tls8o z?&m0P9(iGr0XX&LnHz(Y#sOp>Imz_#d!ifEOi6G3n=@7AT>Y4l?#l?U1BpYQOc8F< z;i_p$r+L1@B_$tNV|b_j7}(097c&uGG8$;8J`3KVcrVHfa)r-z9C3GO8!F@a8xRj$ zQu+B{(0;`=AF{Mi5gu{3$B(b?)pr;Gi$Vw)@!-yeO5F?z$A4+zbNc;}iGUIAR6Z7W zRIyZjrdfHo8P1d0Db`0b1F@}(o6&;cx3k~sk<$MalNSUB-P{BP1t8+VTPDp5L0h59 zO&f;KKBm(*3$JdD8VS_S`<!gRn+@eVxuBl6U%82xF#O9QLid(v;<i@45)xD4_f65n zSi<PY*#Vd9&g5T(1tzz!R~QQ8vNOYtM(UQyxb)}@hF0Fv|1Oc`o?KmACVwzkZ833g zThZXsO&fog5ABa1Pp`GWt{(eZ^F79`eo&fg;QF5(-bX=QW;CFPI9FYVt()OiA^5)` zKc`3L0bS3-Us`TKcqxGGZt#h^nw<A#u$ut=1xm6}(H?-xKbK_DBWLo#T<V~ZLHEOQ zI5!r%*)MC8ZB{ffh8axUP`rbVl(f`D_ht^RI3J`h)9&7C+Zf`-tz5XT38XrZ2QPQq zhATcnBpsHqn`$Xn;d~K6*JTNPGs@GlcoaP#SF!GGb~DJhU08J|hvG~sXA=55D_=EV zejle?Wg!}J@=r_toTw%AQ|cmh;;#f;{pf)^rcm{|8gWmwOwGayIi;eyY`vX7F`#@g zVp832_itTq`1Hnq`&|O$lr3@rzC&s<05VFxFMx5lRXG3WcBE#3*8~u>PctN(<}SNx zKO-E~WrYvR5v%kh&(iS#Mfl$=z*h8&ee^}kr{vgZ`$sqaJ-0Hge)lWg4Q*T{1O1j# zH<;fzETh`vX0?89Ehv9e5h;=~#sNaAJPZeg1xLqQiB|B`a?O>+gVmTRaaAk=XSeR; zWK$z<=yP9QsNx3CA2F2v&RiRNUB6%_HS$$y<$?1%0fw8DChmpR$Qqp2u7-V(ttU!< z{F;=>;@h+U7eK)>WB*<|LO(JuJXOe0-&vD?RVpTT$es5~E~dTJxb|xGtZcymW}uG- z-sYP{`j-nq+SmX#DX|Qe^=sVw(IZ!<4-ht^<JM1Hw19^Lh9F>V_=Yz)--kx$Too<3 z7f?q)gc@p2WC1#pbuwAM`P#pv_d7Xj!Wht7Jx&Fs?n;$P{@|B!9oK?PED2h>Y*pj~ zw8k<O(gX`BL#EMZN}Xm+L`!=Vvy5&*62QH$1c5n^>Hz;{oBg(`tO<l<>($lz-O5pR z-Sr#XIas+NaX?7nMmrA%F@H%*_jpPA*U-d^#c2LCgs>Cq<?E#ZI509N?Tu3hWaO}$ zx03|X&QEE*%}%^)g_-3r_K*H$qXx3h`#CZ}uEAZdtgIJEgsuoghEzL{s$FR2a)-xT zZnzfWeXKZgoBqu#@DvQ|s9*WQDY1Y@FpXnuT&c#?V0&LSHLmlWN{cMu<NhWairmq4 zLS!fp=mO4G+kN3*vTEj;_-zJ{RdkuIih!Z+Z;OTCEE6r?yqk|z^5g)Ba1iKI#0@Z7 zE~R1f>}M|D1ZK)Kg4az-0|4SgI7v!h?ku4raP5@{<}3W0ny6NXdeRF2Gc%~6E6#&4 zYJla8ZJ?xN)vrf}Y1EXHf>2uU1odJ;YbVNp%s&qYsW6fk|5*y~7fQ2B8EK%r{?CUo z+P>Go8C4wk&-@qGSCRUkY(G>Ou22Q|3hhSbjSB3x?F7>wC!z~tmDV1ZMglxM$fBA2 z#YHu(+5@|$cs$SEEO;Go-WLTUD?!G*$y$)ue8G!+sCApY<dzKKYIUySfY@3JR;V^( zWO%~E<n??eg~ujF)QC6}eth!P+iq&#;}=S44FKe7?Saixv58=cfj<4>#QZpH6V+g9 zBDBAU&~`on!YJ{o=r#Y*#$hHL6a5;1yIkw@QVzv<wIPekfw&FF<2j$K|87@H^kc96 zJzPP97z=Fb-d>=#yzH%|1NpE+KyS$km$`MiC3qss#FTRIomzQVITR+}_A|KlcpYNg zp=Z~V*SVPqIT$_`6&2l~2u3Y5V;*ve?Nf=Kn&D`v(3jBzKslOARJbCl2v&A(qi)_i zJQy?=6DNl6b{PEWS3csaJN^j)583X}He9=qgUhVrzv4*zDxF5Ct|+-mdB&fre}81K z<V^(oV3cGVGyfjX7bXPHxNI8@wXqftySYiSbU|Hsmx`4CTC|_c@2iD)%Eo<ef)xMY zd-9*y;2#VV)s_e3sHMT6KkZZD_b!fyjErNkQM-G4p4>(15QFI6!W}?JTilgTIeh5r zuwvQsGM=9vl-TSIZLX8(Yf;jJwuheT96*j>Mvfl>ukO56p0ZVRA#hkL#gXB%{$obw zf!Ayo|I9znZKs<i{|(<<`ml4Y`I@H)x-Rjf1sICH0&qFR8@E}9&#OSussIHuHmLWg zGY3K7(*~HaW3ODaw8le@bri1vUvc7}AImjg?wK@KEc#i?jQyTFhi#1=^SB!txBK_- z@UW?+rTl0miz#U^y2a?WFwjTm;HkSy+5VJDGQ9}6^sTlne~Y&^Yr~t-Qm%ndBnQv{ zkHLP@xt;`9EH=_hTCPc#is=%E{in&5-j}yfs;~QOCEk(E>>zq3())EC`J#=GTLnUs zOEec0Tz7X?CQ3d?u*pL+{ivSFlCn$Mr`oP4lnx^76hxE*>A$92Tu<)5m7v)s3=-CT zm%RD?CoTD4T9;mZu&+aJcB(3U)<5F)bB!C&1^x1=I6UxJ%F2#Y^P3>4L}j@!6OO=F zb8y=`4F%F=;YednKqj{T?0NZK%J1vgDV&&K9T|XynUhX!-nB}NG-F0Gd`)q)YI2yD z5u}sdzZGV!bErke)@U{GC>KT@8ct`e(gga1_w15)qQ_QLl30TDbPeyVxu*h$h`MJy zudDE$uyMQPmfaJVVTf)!%dsGSCJxWnQ`JnuahC+;Dy%(hQ0M9Z0yk1b&ccrq^ujsx zKA(+)0vYe(>MFTuV8qitzgJcks>G%gGhU*%)v-78fuM&Zz6@#Bezo%E(oLsb1E4@c ziQB=Hr=-VD3ebfb-l?Qj^Oci51A1(u`Hswmw(R-Hd6676{na}Wzy)___Qq?W4xRU6 zpiig1jh{l>;+f)f-&{fF>^@vNuwrR!iwnHUkUxewCQr|JE?%CC^ag%srNa>pJ|AH7 zl(P}&ULQj_{6YNtpygP!%HZs%!SRT}m8uoLm1El=Aq0^NC=}QfT&9G!nSMn#G~G$K z2)%}CVG&p_tAeLa&5T-<M@>V3*%(eVAf(^SeW#|67?xLBFRZx=0I@xOMWZ2BO&TJl z;pHiR<XW5~@sYI&`bY*3iLSu-ST#%P>n;W<0sJ|m=cE<z2vK0V2GEPz2d<;)?y|z+ zB32W#kiL{-b+Ystt%jM^!)bZa8hq}sEzbWYwrJy!p35UkQ@6sR>E*-@grn!=d+_6# zy}X{YR7~-J^qz)ifkIaveP^0wF3DV2O%p+B3k-f4>lj>qdKxo%HUb#a0&!w`y+yH$ z73}ws=1@9AAR}Us2zR=d>h=y7RG=%>d?JGAR@<h}d_F*C1lpa`3?ix`@eIXul~k~< zO8*eLNBA$``eS~@Uy(q7F4!U%EyG6$b@+U7vh)=N4^J-4d?3*4LdsZQbyVcTo>$12 z7^*;IZXf=zXZ$%iJuiVe_j%QmE<^QW-3brTTmX$C6PVz<;Z;Id9X2l>BOl5DM8oO5 z3=!_NcdB)ABLI(}MDkwojFoK6QslI5@xBH*sNo{-s^(WnhkJp}Pw;SIy4=bt!X}3* z&SjY2#jo2nJngU7xnx=}N<(fMKPA5Wb-hC!BD0%O`aQ#%#b+*cBFpSiT?Kp@6%V?1 zN>Jko9N1ixZHV)H>}X7w`Znlomw)@Wa8EMlMMu?0^3Z7RfGGkzsYtmn*2ACDA&B;{ zW2>QdR<*+<)}IY~qCS!=ESJA5zIT9K#}L|$wrjB*w?AL@G)dydtmJ-gQ9}GNS8l8d zKbSWG$bn$^uzB9_yY&eVIYX-mZdXSzF-KyoWA3HxdizIi%}W#9Xm>+GeQ>?@zB&Ye zMPwAuItc?%4f)1M%>>fu$JW7E^6d?_WzX2|JxucYy5!EUt^$_WH|cqFv|QD=F$?I# zAY}mIcTrVtKyRkDcw)$2e_=#Mn|~;=N54q^<lxCfFax%{m9GHlXx9~DJ3-rL(Jyl} zIi&l71UaYSSkzEN<C|~-%6MqG@-S(X0WW~YHgsuKT81aCjd;tOnuRdfR>{vz!R60D zqko)*Hp`{H`noje&4ZR&)i?zd=$(U|m4S1;oi?Jf=XjW~NDjnyHW}b9ln;O04F-gH zFv3d!RmD8{M(mvFDG}&w;)y!(0~FyurHD9z4|voX2r~feo3G)KLML|yC<D6CIvf>1 zIxJ7jC{EmsHE?8d4cf{TBkvxVMo#qP^8zWDPyAr1sIBcJ*aXw=N@fHM2haQa<8Z@( zOj_Sj`o{sq^u+-0R-Nl#Re8R1fPk4VrUcSNm7T%!Ajp+wmaFUy#MwbMppN)1swHNO zhqG7dLM5n&w#yZNYz7CzB-#ocrg}0vIIS0dQKnxo6>NM(gVx_15W>m8Pyju3PRj{M zOEgho@8#ZFWR<(?n;7!$;d@|E>$J)CS{>$<2-@WYE60kpPI9X}*!-0KMEwkA%HlE3 z%52@MSt<DkknhLNVe3yoL>^2)Rb@5g`OF9Dk3nKyi-^6GFzcROzA)uFMs^On<v;az zda!mgt0rZp?N?Q=w<KxZ-3!|#$XjCz<Mmf}Ua!3diVa&Pimv%8B(a%Vj7Qtt{P0re zJstB~jkAPUIF6WGbnc9WrVFB6&#n%xp)f0tj-xMlbj4aZ5j=5)LTC}Zm(;hNa@(~t zYF8F2jUa=3*Z3a3S9{2CCjxxG@8nHu@5@|K^T~8YU@qX7dbeIZ`R&hU0wULODX^W* zhjg9)iS87?jl+)%CC*<4mOfiv<pX61VglmGW@lvl14bLK5Fb3Nu3{2<j3laLt*Fxt zsdT6zNkJop$(!<VDK>X+kjnip{nLLi6%8cDF0FFbrr?cM4W4R%ull?bFm?6PI2Oo2 zQDjjr^dr|Tw&S~dCl5+tto-lryxYzpR!Gu75A2aho}#xnnxO^&`{GQ&WcYr))B~-{ zNQZjfDm`EmCj}rSZjYEN8wbad3mqn~$n$l$w2u+uaJIZL#<BB8H)a2NMUt9+KD*Xm zc3kG>69`Zus`D?q<uIq~)>v91i~OTjCTVi3%*j4~OHq-5(n+uV$^`8QgUelc1dj}A zU2Ww<9Y=)$c4sAA&TbKL>iOJ(5aLn1GyM0#-WQE}zJdZz=8<JQuIca=6oA^CXa+~1 z{mkgr^Y{41<}{$n6GXTtcxOI_2t1`=%qcLYH>vghB3~l7k{l<hNe|7i4j;i6<w%$) zcnu|qI`^-Z*DBn4O+P$SPussrgu^wOFh83jgD%P=|Lj#y^tXhYfu}=jZ@Us^?=)6J zOVl8<uPO5NeT?31HG)Uc{MYXZjE51|K|tWzeALLxX*=+EyT4*UIB?3JtRLzWu5B*2 zstwwBIz7|Ta=a{x9_5iTfR1)&@}bqc0JKSlU#TBAvpbF&4W(a$&M#kkLFU42+jG@K z;<G=(n@@!Ue53m+kFA;^eMhv`CdsDq11*7V%5Cq~9sTe7WltSe?WBaCbVd<R_ri}1 zPv`2|rbG93w{n1CI0nABIAEX}3?6PMfZa|&t{i7`bp<3_i;9C0U%Aj_a_@Kog`*i~ z&_&1d-qb&I1A|t+mBWfd@*%@JJrFyKQk@o1MQ;eeZ2=<yBWbWgiG{}tu`qx#Qa=Uq z=gHPk;LeIqSeae;Hu!k!+Ubqs=L<OYDGW>#U_6^P>bmRP%7I<lHdYq-%cp8Y3?SQT zlAbZnQ9ek{h~_uF7op88abNO?c1COgVkB@eyFDwu%yNPoC{Or&^@(hYLpCFYJCads zB=@Rz_8h4U<HsQe97x6Y<T3jNwv6bP;m3p9#Zw>Myd+s_F3BX2g|h0NSFHG1y%pOD zwh28bu`6p&P^=La#AI+50r9P-5l{TS1aCeXKEsJA*Ot)o9-e)lV9AZz-6))D>m5iY zmS@h+I~#k%47DuDPeXY20Q7KrGMqF`I~8?;&71>nW~--QBsodbTI#;2L_{-9eC`ut zpp__IPJxavl&YE?yj%!^^?Ro;uCK<_*TKNwJ8;8N?lkjXn#TMd$~3f668>W(lpZ6+ zL}Y%9gKp_|&CSi>TW0v6(Tj0+{<R!Vl}u_-8MUy&u@>Z9sJ_q{IBZmFsuDd)Qy&su zyfAZxM;ky)^u(;w@oVdv?lgtKQM=WG|8Bj!eOs%&>2C$g#Oz66U^<KS+gbJ>kOTVJ z)2<&q2UQzK`O|hrMovj4Kx|`xT4^86lOp9KU4ne;wC-IiB7hm3FUV?50t;jr3u!AK zcl6N9p+iCq3ZK1Z9@2tzDt(wE7w({Y+F=WE(?JWw*aTw9hFr;|i|f+20sTnY654<H zqF1MthY?e^Txpk(c<Q3J)mJ#s-R?w4C=~5p3qKIV<5}Rm3*PM8yWUw<L7DjKvX?8a zy9ROLu+id*AGoIbkJ34PU^i3PtbxHp`;wJjK^QbeZweYVDk@4Fp^_c8?0N5E9&Pn% zbXRS)7@x%H$gQhLtq1@?3GO`LE0T_egO~S4d7HFO-PbZMo8Iic-ZA&dBwGEpHg$1# zuu+fJx;VbQsZx7(koA}8HLsV0H*{d9?t9wv^Xu*gBb;y|&<Ieb8{H)T05#bp;Wnkl z<s5_xSgr?Jg*S&vvMeD3QO3|$`mSZ843q{(LM(1Ywy}K0@64x`fJiM!z?}37O8i!= z2K^SZb}v$)W)~<Yj_x$rK~Z4*t#>V(O*u#vJbot}1@uP`D;WRCtLtBfPL7E+t3lC$ zN;>#95iTrTzg#3c5dfFB3+PjKXV1C+-BR`E;KnG+x4qjj(C`@TuA=R4l=UKt$v*PU zf!CVtyt?E_YkHIc9K#&i9~N5+7u7`&+fP=m?aVwhm}oMy3vGCi-ZB*8@F=@wZ*RFw zY@-zwvC>A)>d}cjUi|wI0LeYPU#;}VhGG`ptbhFrjO`*2^sT`=kP03d10C1FDkL9f zl&TT8-*Qp(@zks5_b$#g^(%xnXj3zg8RM;a&^kOqJU73ap^M3kp+~Js1(31~9*kw@ zXLg@DPfd@#>GdaG($A~Iv!ow*F<k@(EbRTt;U-_UOC^{<Jb@v+6IlkP^S_#O7zpG@ zM`tY`MpxH3;KzSHQ+?@z#>3dmbQ>%{uzBO-2TG)f2Uqvr(gU!w^WNA-b9>6hVen3= ztz6@_n%e?qNZQ2e2Und)k0=!c<s*3-@yW`Ns7Pa%@(#AiI%Wv%e?vP|S|gfY5ee6> z;#%3S#UBAueBN(hRGCS;SOmSh+k7pM2#o2`Lql3%#m#oo0S(~{D;$Rkzk?G<Sx7qU zl*_vxU;3^_3^0F^&t~AlWT5#l>wgZ(Ng(jajI}wC@U%1ysn7+<EtN8UGvTt6qh;oa z6OG=iZESl>$Y;afd+tsnEbL}DiljKvzyB>H0w!*EJ64Nj9?6Ci+)vfpbC|k#eu*9W z;o0W~g+k7O_8(gCmI!%1ARageGJF0MeoELw;x`ug4{yQz?)QaehU~GV9amQF>ZXNT zhG*0rocl;kM@qCT1R%^ukvzaHGFtRl-}l-Zx#<ycI5)>p>I`+Ra&z->h53-%8FY6V z!4DIZcY_|>s=N3Had(=;@r~<4-9YpW5T)xY6Zonf1P~-2C8xUvdTTM>##wctk0pC_ z4yDzYIwI5WZFD%;F7dYhxABng;^L1V(A=|~g_S?ud+H^>OQES%Uy#kHO8HgRI~=mQ zsuq<&#mQtdhbsah4+6fsm+O-YZhMuE5gFc$07x#-LQKsU)|STn<=%jjb2~PCtpEKB zo^3Sf6HB5lPhcIkG%Dk!{EMbX0N23I)@N<KCS+=<b*A(##?<Q3J94rvAx#oj*}?aa zN~Yu4t5?rzW#y#i)KuOP&W>pU?a#yE9mTahy**$M)>8~a)WXB|TKqyumUB02fc7YX zH0Y3=UYo(SJzC21gzIKUBnwR#f%^OQ`)qNZDq4y;&~Ldi1k1Th^9S~%EoTGMNjIj{ zeLe34DV}yQ$$w@1XEEL39XWv@1%=tE?#Jrqx0`QPMXxkDc$p8Hs>!c)PT0pkIJLCP zYiZij@|LpvuI{2*B(Z%wdLklzQVx6Zz5OMOj`_2T&br`4g6ZH}+M)SQuRrm^OfC=! zG};RH5Zs;eGOe>|;Y^t;FC8g}EL}CIySfrXGDBFl-RJ%S8c(qXywYGxYLKubzGlU6 z_@Hg0Xu8c~b>Bd@=4l{Kgoz~CVVz8JiCP5;zL3ky##=UO=(Xu^_T!^}-Nb{_L*<iO z$486gNFH~C5D!U6yL(`>&B@jo%H6@{;Ec=A^y#p;mW7M!q+0n8imuoSj5jM+4dDBZ z{yBgyPViU(_-7B+%MSq<qLUc5|2BO1ztQnL_$WLWR@us-)06k~7;+jIMQvg2AEIOc zWOoCSKF>b`qIDrf-fv9i7GlU;jkL6$IX*AI*OQRll-c!fs7}<ua(@Cny#;qY5ULc2 z6pc$q%_1MI#liy!vavfU`M^-fjj2*iSlzDd47qjaOgw2v8-l!_m=>sNV)>V{80+R6 zhtl6?y~=+iZZ`qb-Z^Ce`H;7H_LpoN>6@wV=!Ym^?C+bK<a_N(p3vXNdq4{w#mLzc z)&GgL>FMx})G(?z9(S(x65!aXPzQPVNyV%z{XS;*e!+4Ht(qSl2{Q)=k6h~eE1mff z{AyMfm~E3Hap+8J@<V_j@V5JQ=pK%d?2{i)j}I~yJdS6c3MA*Hk_oebnUR^9ZsFm| z5-jo7lb0)!^E&M1X2!e?`8NMyu(G?DAoPTQ^BL@vQHLI4w4S*7C&Iaa#jBRzE=Cks zH%IX3A>)B7r`#Zkg~n`Vbmn+LnF0l~7k#)e*i8jh&G52z5gzpXZi^4uS>16^HlGXV z>$OD7A3{efg<f7^APLgU)zf}kj)|HsoFnO==_cTlc*@K@(Ax2#-^|V?=${^5D?stS z$NfgNkMzvU%uE2C%xh9%l-G4CV_viuz%{=U10_b2D}O#4K-E79>=+arZSd$IIbrm4 z%8{@P{B$G4t!hKyXR*9&<5+*nA(Tx!R7}U5Up~y)_O062WZD4`rLb5%ruC&#eX=xr z*^4@`^g)Lu8C5B8nJF(iDieGtIEEb7hcqXEDYcnl-RCruskP#g`tAkl7du*z?<NjC z68z>b959t8<Thfp@hY;Z#UTjliDnpNuDr3wvd0IJxUu}E62c;rwx*4dO3j>dKc4!g z*ZM2T_zCC*`K(tK2{&T4fOI@9zv^R=Q2)Bz<4S<O4<PP&E0maxeBK#nf%nLvi>~kv zodv)kIvjeGY*l_8^rV!WSP2TsUmJ7ZK3Unj3prfInbV`a3Hr!>k_em#e~;AmHQ&i! zNHXHa%wH+3BN624j;pKSvXx=>I<VvM@Pq8&edQA?{amWl1h7vPyG?3x;Lmg{Hnug+ z$CJ%P-LK5|B{SurL6o|79sTK&x*pFj173_Ajss?#pt)05rWV8I&n~+LGY;*DI27Rm z`qpaK+hY{~QY!DQ`&`$^I$|ecDDeY00J8=xX9{obw6J0)4bOS&ubtL~l!pfg2x5kS z+spGm2XjaREF5mePRg3lt$5|hv}Kz@(lOBiQSzmgwv~P`5eQ6R{HkVcVQm&cHwmZ# z#>CjM!t?F}n`;0`H=AL1>IO*@Zvjq!V##3pSN(7<l(u9vBi)>O4;T*|>@S2jlmUB< z6F4-XdSA^dC0SzsU|ZWi91yuOOsQq|8psdNfF@P2Qziq<RpM1STC6ZaTEk}K=aHR& zTRTKxhz6+;_-EDBt|@DETPB<|IuWQbE59MI2K36ojYKowQOYV49(P~%LKK?KRX`!Y z%n<VZv1eC=LX}A}Z(hJrp<{02%ZModvz?Xj9i6J&8E^%*{v0AMKIW`$dhsiOcm-tR z0H!)FVNa&I^7GP|7iU3<v}Je867}#+BrjW!KV}dFu}}B?YpL&$iIDb?-;}NjP%hZL z;Z8o!fi*AeI~<oE0&8&A^^qA|=xWD~$S$6Vgglka$ip97obukIEncO=ZeOYJ)0Z9n z<yd@HGUDxE@;wxloP5~UGzBRT;!9N@vz4`x$!8S(7h6F#`prt-HYdBsLQ3t-|7jL_ zTI<v^;dX#x5mY{v4A2u&&-YcXUHd4A)$m_Cd8Jw$Hxjs9o?AXYh10LOxZbT*xp62z zV)#*+a*_|T7&U;$9KZJX#86ixXo@Iq2gi!Rnwf`sy=`*OtLIV!Vew`8)zzSmxBm$x zYVCJ<_W+4R7S;MZk8Wn5H^!9*{WDAR*uV#8d`L_Tsh@baHf9-svDveh768V>%}k)N z?+8ZeZ%baskN2ElF4wI2pT(>P=TZTO=ECfBX)OYk0^sAGEmh&Gg<31FjzMNFNXAH_ z-`D^#Ru>L^-s2}I(CE&EiJNkik()cyQSovSLXY(hPOzrn@QMpT!$je>f3nIJ93gS& zE0d=m0w99|xPsD}gH`zGNnr42R`UoFzUIL?`?O=~!jJkGb%`pa{SQZA5I#W?8#zbK z=|ZE}a%c+sCH+5Qh35%{=Th(tZ&tEgV0S?f<u`6d|M+6mHh1@w!M)$=$>_-$%0t1w z8g`Sslb!IIgrWBY+<+@N*<Qz*uoiTTnDuc?t2V`Y8)8M|aizd0L~^i-IIU?`FOIuh z&2L55R*iSVzP>bU_jtkGZo<=i;+5Rer5#5?dKOBQC|84et}_BCO~O+@0Cup4dgS-q ztI3&wTW=1AH`U9-Yl9yGi&F&#OY1IaTl*JTNW3`?F*ODzAo!E&*+djl;AR4P^p;yt z8Dogvp=61*db@lZJRszO7UY;0{f=a7v$o=}oukn_krv>~tEW{-+O{aE=3uwGx$Hv# zi`<13;hH22f@<n_E%R!$u@&<9{GT6F=LG1~A;We5z_-cLD`92p=5L(R!^^_fTv|5@ ztHkn!gw3I@+U#}Q6_&TOr7m0BotyYDa%1%bF8EM95sdEjW`;JGhmDfaeN0(&9g#Zy z-dp~+9Gm4P6S^()y~dAA`QxzsYlYTYb>&*?D0}I(pslaq!&Ge8-ck3nL+h>~$yB}9 zV@;eO(5)uQ{|oSr<ZB(c)uDM<vL@pBb_hW8z)-)3Wiy<x=5T9yZ)!%Y-q_XDa!<d; zG{uSv{e>1FghVjaX;9BFF)nvt4O1~FgXqFA(jxh)9_6f<t(RzRq3lwG0}z7#H|7b9 zsX`*SPNE19DdtK!cJtt`5`O$VxrbNYjqGOaH)n@0Q&BOY9z(XB@DT2FuuqAI;B(Vn z0UPrs)!_;IQJp(?Fd0G^mm>Xb8SjnH?oXxfiYvf%-cO0WzaC;>bm;w*JqTC;n(G+d z2%)a)n{1j3oPsuExd5MH3Q_pmLLA|lU>qh71%+?B@99pz0Yr*s4TtiBT$?u}7j*|$ z0g-b=0kmo5+4z)8_VY@*%r%x-o`#sI{HAOz2(T(%&9F$@8<1u>8(F!~yBk^NYe8n` z^KW4)wlY)hpR_O~csm+~@SxM7o?nyM%vF37h%^^oeY3iUm(i5C=Dzvr>GzQ+5=3;n zcT)a5B<X`vMb;W>4fmRC#>9=u>Nz}jQIxDR?*X@~;ixL*(S7wj<3Tmz`#g3{KJa2$ zu7#^M1aDn>bO6}kZvDLLxddw!-#bZvadtv-P6qFsEREhj`%=Co-CuaQY*l7xTTpk) zm2Sox^Y=2gB92^m97hHe^af7P43hiVjGOa8ktql03^7c=qPZlCl}o@o=Ure;vgt1{ zx?N~uPKm@bS;_>R!%wT17k?~_bw15-6~)$k3cJbBRj<cv_T4Aze&2e=s&c;tF+LkS zPU~2vah*!dbU%au=u8g_Nh}UXQ~uH1wxIR}^=GpstoaYTBFH%73HbQ28Si%8AF*2S zcWImFF8QzBAoqu*%DMac^pP)qJmkHf*sN8mnyywvBjxp$Y=)U>Y4D6z#EE|mB&zz? zX*XZ=nyLkqr3hM2CPIE@auUnE6<O?B5S=4P#XrI3D<fX8QMCwh;;^zKzL7ni9GG3+ zleYUTUIgRbJ|u+M#@Fd3U(ju42XX4z#@jei)~A^Z{x4Dy<3Sd|jXub?3}rg@WlHK3 zmv-xAeMLJ60;Or&Nh}No>8&Vlq^^$9unIMz{Bhj1uuvf+Kn%uPgCB?fHxt0Ojt)6( z00_QtS-->6lMl)|iMNq0?;ym{EP(jqSz26#U;FL+{71ha9WdiihWJ(c{VP)bOvhjn z7)Gow_DmDEB5#)Rp#MK;pp7%K5?C1i|HcB8rd=CY2bA>X-q_}%MLY4-=IG_~?PpUu z;bpwQ3fF3u5*wF$5lDH5r&KZqkm!1mN6-~6G_dMb{UPxx5g;;prUQiirTpIjbQe(P z@pb0M{PIHDMKTK>4Q!a4vRA_|^;wH*P7*0hLN0M7U}vc)ti;Ge>{GOcKO!*$zR3y# zndo}d-7dl@1e}ckzrQtc=a-c<io}u-Kvo08(uw(g`IJf0e*>)id>EjBwjXqa+MF&W zRoYRgxElEADTmKl8$}kq`lQxRgF-F6!dja)&9!|_NdeiWgYMJ8DD}X5d6~D!SawfA zuX9OL*a0;NT9pEN+BOV&D?+OQbdX0ReTyBrVbxhlnT@qQmB#+xg9jLZk_u>n-O{cW zK^LBmkGE0iw}CFdVVmC7^W!He&o47Nq?!uL?91g(xn`gjBB2piu{%um2un+<Ks?j| z+m?}8`hV4N=J8PN{~w=LEw@y*xI|%;P+3EbN~%f5(zWl|vJH)lEt3c#%b2o_$yL@E zWE*3Rkja*1W|)O;L`*~W5PqMz-S6-B_&y%@H~-DdIrBMl&gY!ZdwITIAE$OQ8gvGK zbq7z^OzG3-)>&-#>9+kv8$=f4NO5C+(|Yg2!P2H6*xXS7qG{HF#zE*t&UcM1<qv+{ zxA{`_M7{coJRhTk;Z<33sQn8!6(QkuyUB$1>Ku7hd}{DATS_h8tTw)e!L4RpThTr? zwb-;}<S{zp`m%<ysXiLIf>embr;0h8C~<kVp5e01&TaFk6uI*bZ7{MJbK`7Rd6X-W zkQ2ErTsyizNxlJptgXAV(4cyDsUap-j*l-jcok)zAYC{+RV&e@x>+vVub2BQQdr#- zH83%AzK69+hUn3qdt$eRTW_mA9=py3dM=F06O7@_uOJ}ONkKat44=5<Hcmq}bxdW6 zKXh};p_g{9?luH)^tj+_Ig&daRt7T{K!>r9G*`(6+}2wGn1PAYp}Ov#^o@5}jW;hE zML8CXjTzrU#x0YWK1ea+*zi-GYO|k7UAu4tZbmXKmTosx!d#L-Pp?*%6bqtEOJqJ= zUi)o{g)gZvfvXHM2avM9Ve*xL*hagDe9`-)sGwL42Dvr<BTIEg(e%b7w%^&_<F9Z< zGE=JjYKY@-f8Dg$8-I48ieY=IEa@A>+PK;FnpJZ(wY|#EH9m(y<=>pv*)VMQDfv+G zeaz$uF){(|XsIl>LI~(@VvEG=9;XzBG8IIg$r|R%N~V5hml08Qr$mM>t67)|YLIW| zpNdQW8G_a|*ZGkc@Ul`%o6z%ZaQLpO>isB`u6ggG!e&qb1wp9j@HXaSv`aXcE7dH= z;a0YHuU)$p(#**)oL`)BVlVvOchD*CAhNSIx%l3xDp)YyFC^&C|H~o<swY~M>w1Wm zza_^#w!OZxvA&fDl)|Ksq;*T-7G=N2C$yI84zB$BN8FSl(|q|0T0uG2UL9s)MjG>4 z57@##)VuO}q9b<N=*&tD-Q*lk+eFXyGa#`seS5G>4MaJJe1WfD?>_hl)LP8(W?um1 zgXO=DLG9c~?Jt_+Lhqb57w|`VW4m8RSXcj%41<^^bp&<#5Hnt4hYWfzMgc}{gi-&k z;tuGdY?<>^;T7gM{}I_KpUs;5(%GjbiH6H_r)y?FSGjqKn|Y>KYhLlaDBsfug;bR4 zQLjxmH|u~fTl}XUfo#Gg4FBCbm&v`^D0njI)#9$Z=5@g?UFy5EC7PL@hF2f4KbqQa zoIJwfB^CB``mEB%Dp7iLe-caUgEvjM>4s8Q-SWg<fZn;c*vOph|CL<~-26k#(V&{S zGy+hQv>Wt_i1W*HK7cv$(40os0iPS};85%e1LlViOx=TY`Fel*Qm_-nfBdA;g@CW0 z<{iYz&j;(hre_c;%Leowa_Gj|(pAAB7-5Wpn~W#%!L)TRWN+qByi$HkAv9_Ev<AMw z450kB<;Bd@a|gz0tQYDDry|o$quI~OE1BM8f40%PRQ|>9mm*6}ol9O9iIC(pH7?++ znta@iElq?Du^2ahWfu3<3TD@*VF|M~%VU!3^Ng`+K5cDjHcNGU>P6I<_i=G6^yJBS z+**WG5<T9&!DGDob&e1;y4x)yN0y0e(NO$AE{?01c&?u#INe^XZJR;RIsm6^O!32B zbdakwRFua=Dc&`cZ!%exfZYKXE(YWq0c65%(40W#%C4aC$~w?o_2_8n_-kWX@3#_P zwvCT8M75k9k&~yO&H<r2hA4di666d=rlYj=Yv0zp@BZUvn1O65BE)m(`G^^x2gzrE z*>x{l?VHD^H9u-l>F*WDt|Vv&Q@l;j_jFhNVOmj9cf7b%)7iu@C0*f3wpl7SFuTX| zd-3HbKBG;^jrjUdE5b6bI{|W#P_}&lVdZt)Ib)lhA}RHUgc~I8MV7ZAg_6(DIw|Pa zY)heBaB1sDPgnZ6+T%k%-wqe$q6;wg0(emaPL}y(C{rc?uLmlx{UVnDt%@#le9j3p zlR&#?N_&z<F9v4+)yan)hia(Qg5-nr&`Kh(5O!*xjkwvdfWW<wAmEf^NplpSRBgEs z2cXFr&kW(lOOkaPGtF^B=b=P7fG^zeX8iDX6gANo0-Paa$l|zS$c`75=-T>P2L0WH zZalhFg(s`YWDMZ_C>Il-?^_2MNcLu40~Iy65DaEwUy=DN?Q_E&J_`^JhmD74+T4nn z*bY&E=ENmv?x$!~>B&&P4W^p`bdv^UN?xcT3NCU<rK>xJOb*!;#IvA=@yE#!2z7GW z!+wj~@w-n}Q6Pdi{I~-=3Hl=vmjT1!f<$oNv2}R_yR6)_&n!bUDjPGp(ePlD9x&Qq zT||$SaOjK>w<+*yJ<bULby<fuYW`FJEJ;LOpAKp`nGZDn*(y&lh>3AAz(M)ftE5=h zH5v4B0OF~x9}bWJ09Zp5o-+-ewrl%m>_O@ihY<LQ#x38neDhM7(tAwx2U7*~I(GK$ z<QIX4cS1t}eW0qPy??y6etD15v)I+|LX?o#AJi*N)32i@#Z)wSMkPz_UJm!~3%2JB z<_(KaQH$n!r7K+W!2r-%&n>%XUKF(}?GSQ3z*z+HXQn?|g5%CcPpe)&4WGYoW(8)+ z1=d^{<C4`I*<qih%D@>C{OoOAhkHLKwI>OjYjDKsk(Gkw-6Fwq(8|k)q{wPv^81XY zB4s^E;s6=V8b=Div1(0zQPqq?&5<8Ic-u*^yltGfK|WYm=d;@xR=0u=gIVc-B&)@F z&u>>b0j@#??wPs#paQs^r~o`Ic>V(rti@J$2Bb{E!JgD}jZp?BXtkhE<c#I*DvvJl zW<<JVn(TmtnMx$in;g2(E3KFPGAUyJ^AwgP6F|3_RW#QT!8ZoOg?<^WC&<*ljb?t4 zx7nziTq&dW-4KVRabnfLO?8w0!!#iDEr|b~@zA{mh&mt+pndENj3x*OqpujfQ_3Sy zU9f_OO_xnCrH#o-)d+!6V1Ew4SoojYu7ST+9pXI^xOWe-O*UZI$)}%>j?lk^+6H;u zCO_|ydXL3w<?UoG-G`rV?mlAu7<h^;B)W*7+14BxzxD|c_nWUCm<f04V#mH{P3+vG z^!<PkuzF+Wil4y(9j!-M;Gh92pV~mEww>)W#)!RZYdcxN9cj3R9Ia3}(E&O8d#4pn zN$FZ`E#o>;<30=+0_}z)%g@(vqHag3n_eYU+}rv{{V3Ybu(Ppw-FH+{l{XuM{V>cx zMJ^W(?7bFs_9gys+8#ze>uGW{N`TY(Y0WI``YU6v(6#p*zbY#dCu>UQ=;Ppm=nln8 z`@9y*T#~ItywV98lYn3TgZvz<quHP⁡+~Ph5_q19@BTIa|4u+p)F?NGLFv<}m=Q z8BOZBfLKvPM7j#_2%+R`d~g;JdtD5n_KG`MJ%oe>`RZy|*_U@%XU$-SGixzC$Zgzg z4q|jArtDKKD5!&y9aM&T_1dkR);uceWyeixZVVa{rZ*(Yy-^!K4uzK(qJvx5XYgwP zGrG<dj{x%dg1r<LvyvI7eDpD|e8_`V-V9*i6yO@S2{5rjzT<$?YpRdtRcQOfaL-uI zR^bhstc~(h@HG&Sz2+`lM>?ANVuw>qmg0KK=aG|?_Zw>*Y>7_}5Nj1>ZEWfE@gmxL zpnD49u6-rzJe~{C#kD*V%s*7x7M%0KrZ0(n5Z9Ljdk4J|?yqoo@E15OYBdMdj0(m| z`PFLnzFLw~oLpYBTEpwizyopy@C%HIkrO0vEzNGLUePZ41_Q`l>cbxf6PH`ZE5B56 zgl736UGG6Q5>pv|!y=%cDXVt{Pdy0SdA_WEeU+%pZ2URj+23T~(+NF<M&K3S5FIs= zr$0fT$Y=x|I=8{GN#=vl^q(E9!m%6aD=&}S0fm!sb#(XJgQZ_yC#Hgd!zE1?vVRM| z^kT9NgQy#YCKsobeWle)KG@o@unNbr48^kU7BCH@FVJuM3S8woEKR0tLLhNBW;pax zxyB3n$#RD8NSb8e-Gs|8^*@Kjd9IZ1cgdBLNsL&2(|>4ZGmUo(5Gz@Ep+7G4-afRm zub0B_Zq^heOpw2J&%2Odjy6D8Gj@scmmDjSjM9QN@{0J_@#;b9+a)JWvVY^BJ0P#$ zgR(3Xg|vQ$T#U3v4SLz#^!Pez4@+V#bZx%Aj7?SkLOZxUmqHBfQq@WIuYa5o+7q~! zcAAtZsq>;}78nwyhXlLgK$rh(R$;M`jA4KWfmwY&n9;$Kjn~3K$`s0JA{9NrMrUb# zp%nPo)MuKTkfwNdy9=xsokMGXpwjJmhNEjum*~lJMA7;YlcI&*q+HCy`4&*vBP9T? z>(AODe=nSWHMi;{Fim4~J}JqQ^b!&&Xc@4^sy(p4Ntys`l)sS7Mv@FWy*i_539O8} z$iH;vN%;$2K?ek{I%y^dz)Q#l?|Optm-!%Rsbhq977QGg^@wvF<(c&c7~lwS=<2x! zvc327a%F!sN=lpw9nHF73|Kt@lrLR@v>g5Mi8qN!_#uVoF9Dq-N#sH{yHfm>@)^|% zKMw=T7l1hjfSAdAQC|#Z`7#E0_=N%Nm6+iDi$q^sBE;S6A!0Rmjls0CESjcUPPpyC zX_6)^(wFNrVL(|HQ>{vol>`4s79&u#H(W~o04{1Z%#~YE1(&qajx~n5`y=^E9x@wM z8W2kUz-q*9AC+uiW28<*%rt}?u(kD`m{aBirug4W53TR2lT5CY0A_4=`cYBeeDDLP zSWur9oHF>`Nn*4-^;B)p)<jIEvuzr-7>9qFBr^bfq+DgWw~WB$3*WF-%<u0d6_3Rd zZsTuVKFgi>mKUm^+GN-{9N7&>JTX}fqF~zUa3&J&sR88KF6T8hho8{%pLTG;fmDrL zYh6(aSVC>()|U|h3%Y}Q-5;7eH}V|-#3@M075jI7L9_9*K5L}$uXZ)*eMcY`C0|z? zC5iBO{lX=zw8s7So_2RO;MQ3(dY)-hO$TIdFWuUAMcK5u(Z}ww2!(y@$)}Ph#rr#3 z&feNkXK>Lf1BYew=Ii1`GKFM+(*;EZK%0G&a<tPKK#n3wD^xn5QNi=6J`G|7umN4* z{v!jN=l}xtD}w?pGmp{1u`grq6a6?WhKQq_pEnN6tlR{1d?GOBQ;YI0h4cXY@}pbp z?NdQ^B@iDiJrlvdRe<1Wg6y4s$T<InUkH+Nf;>RWszg_$7+5!{$5%QzS%sbC1i}Y} zn<IrV7C22^%779&WPV4+bHAN)J08WfBKFKPJ8qZ};B}$+gC`(mj3sx*8cwL=EEoTw z($hqyO&;nTj}0)`4;&C%eO^wybE7v_ojHN0^^gXpWcZI*PVLiU0=qnyz9qTSL=T)E z{owX))Pq~q(j?|Ck#wSkS2rJR^SjtLEsVQlYb9Dn1OMv#Nle6-0w7&;juvHXgT(ev z?bOn$wZ#eBJ~Ux!*q|mgVNfP-;iO%)%kc$Xlu!K76AHw|;bBpG8AzC#JG&n*Tl_=; zcz*u4#E@)s#t<8%<5q<|X0pEdY#|fLdvjY{iT6MpfRD31)&(<TxG8n3ouZ7n&8Nlw zMDmY@EKGF&ZWr}Hi;xi9Fw!z6Lz3uv9pMQ}Lbs|DFRngPbaG2U<YTa53dikS4=*-D z_VR@dTTnCpSXN3}D7#z95bsT<7t8FpVksn$x9c$ifiNovm6T0L#XCJ$&pTE<#s8Qq z5}N!KZ!&$QEZcqnohQxTKt6=8LN&->#>iFjG!O(b#@<fo#$JhZCUrz3h4Rg(Pm<B& zL8c2U^-C9&AZ1W*PKIjdE~?{E1aLtO->?7nn`%k`qiqx7#w8L2*`_a$h4LILAm@cR z7+8(W^}}XzvBHaQPRr+1rDA*dsL|rAE2FKQ-P!PUU)eLt`bB9;P|^bnpk2Owj=AhA zvDKBbGLe=pTvfGRycP&QII{-grgopa<>;=2L05tayi@K9Fhg`0NK6F}d}z=)OUB%Q zxIJm()?{_Fo~zL6$DZ}>6#mm7E?b*J^&Ls;;4WX@N!p%_e~$Ci?NJ1uug{tE4~|J| zlBBQ^)=yj}KMrA8&IFtF$;x%0XY$%l8FMhZ)RFL`WC4t?6kbOS$1nY5u)doY#Vwt; zJ5j@l5R8m{)CvMh-}=XGpH8TNFaBeh%Tw2Z*WW?yKW@5Vic#|Wc1_*as^7!=N-a$5 zZy8mYG0%Vny#5Z^A;08V{}EUHm(uFjn}6Morx*TTMb^J<_y7O+Kfm{HJN=v7>%X>p b`|_uxxvj{E*iYlVyaRyiA+DBOaR~n(kYYLj diff --git a/README.md b/README.md index 7b1bb31..249d9bd 100644 --- a/README.md +++ b/README.md @@ -1,12 +1,12 @@ -# SatNOGS Arduino +# SatNOGS Rotator Controller -Repository of Arduino code and Arduino PCB for SatNOGS project. +Firmware and electronics for SatNOGS Rotator. -Refer to block diagram in the repository for wiring documentation. +Repository includes all needed gerber files for PCB's and firmware for microcontroller. -The PCB is based in [Arduino Pro Micro](https://www.sparkfun.com/products/12640) and the [Pololu stepper motor driver](http://www.pololu.com/product/1182). In the picture below you can see the PCB. +For previous versions check branches and tags. -![satnogs_PCB](https://raw.github.com/satnogs/satnogs-arduino/master/Pics/SatNOGS_Board.png) +More information can be found in our documentation. ## License