From e4f1e3eb0119b73d79d928b06b7de173700459b7 Mon Sep 17 00:00:00 2001 From: debian Date: Tue, 26 Nov 2019 16:12:35 -0700 Subject: [PATCH] Add DC Motor tab to mfg BoM --- mfg/components/satnogs_controller-bom.xlsx | Bin 10789 -> 18003 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/mfg/components/satnogs_controller-bom.xlsx b/mfg/components/satnogs_controller-bom.xlsx index 03354ef539ae9fa9d95a91620f1703b0c4b3dc2d..fc7946297a9c3d475d1ca3141cbe9238fbdf1eba 100644 GIT binary patch literal 18003 zcmc({bzEG_vNlX0!QF#1KyV2j+}+&??(QCZa1HM6&ft*X?(Xgmf#5IfbMM{z>~qg~ zzrWtESZijj`Aru!CB3S;`)N5zi1+AV(9qCe!A$#<&haBP0f z$7>2UTruwt@Vnb=g#iKe}!5TR#k zMUfp7QdG{uk!i7{ce(SBfu@+%tX>D&r8g?oh9`A6aWw_or}Lui1ynpcd})LhiF$60 zl|C3Uo%u0AZpsTLCkX{@T;kWT{`#{y!NFed|D9q`UcX^zV<2a5W9vX~U}JAY=W1nX zr7&dOMTFdXOHFgi+ABYWz7RRB@`XhqpVWK?H!wobf&u*U{z0G94vnQ@C0Q>4zrPSl zS(LL%hP9cGR9yaj3Y)-T318_BO-5F~Tfv7?T!arCeu7h%bbCRUy`8C6@M`owg@1~1 z4ods7uHuGPZ{f-flksOmG+CGF^VESlgDwbF-i=N=hGV#XN-}9=2_IPp$|U$9B^X;A zjq=&Tvrvq$>ct{I7XJGBy~QodfG%F*KG!#OlIcqkk_=+!lXvE^Xgc$9=6clKQH~}{ zLUTkY1eL>2%7!1F219tdtl60Bjo*8N`#mmUp}j^N>k;nav$ke(;^5^{Jx9_qgV{7B zk!AbV?I1YG_-A0kI$`T{e@nGRW#}d_DH&6`g~No@Uc`n|4p20`SO)9hI>yl+8$Kux zPITqra)O<-t=t^pHl8Koq{w+3SnO{&+?_#u?|3tbY-F*G(mOD)@c(EMt#2Crz3Zjuf zJwdZr(JFxQg7d;huR8GBaHzvRc}dqB`lM|vf&ke8bAUe1C6w1}kd7xeb22k6QUO;gQsdlu!kc{8?= zuDxdb#fME}!+sx6U!mp_EZI2L5=-p1p3m+PkvJbj@usjp!n`eZ%=}eruVD_G`>;uhYY@)f7SCU)c?;Gnzd7E`8hcY{ z!y6Sr8>rw+!TE9E=JtWleD>@rPbbDT{3oAsd=^9Fe1smud85_7mb8vu< zUSB&QzIBdA^5^~#=n?y*Xr_tDZ~E({bc|5f2fy!QFKl9Nj!|~z)(gMy@I)P^4gcaD zn%*six2)azA;x)o$M)kT=Btw%I#+d-#~yssJJ(JdF4mfN7NmQ1T9%cq!B?e zp{|IJ1nl7^K;~oz7#p;C};xtD@L85PXq0>-P?Aklu*ecRS!y zpO2ar*+hsh8K++Sc0KzcgHwh#1kj4jeu9*RxvFSDgw_*~TVGN)Qs=#{(cJGRb#-yYQY4h?p@x5t%y}@aB1C+8 zW{T9dUsUf(D>CX@$&v5$^M}O($8k1ePTyMhv6EAl=)ZFr!d{Ibh9W+ry(je+l|TaJ z^_+HUjcA6nD;Qvn%)Q8apJXv%KwPk+wpSN& zy*-WOTg%;FjH|&ec?mhl-fFH}D^EeI{lTP2Kqetk0te6S=a)ik4HyOySa>c6^EM1d z6{O=c1(A=rPUobB-aBeW65jX0-N_PgGTGo=zbAZ8<-9E$xhZSMYXm^r^qHEi{Lr+L ztOJY}a+MNg>dm7G>bsToN`*MdiEUDyPdRBERORP`=E@0^%ey)PvOlC?qhuVNKT+}d zj~vK5*dcN}iKyGSaZ)pU-Da+mFgpoWl(H>9_gF*ZQHL37{mK==j?g4;}hA|0UjibcnqhtUD|msY<|)kPWT-17~#p7 z1F6}Kau+SU{RJ?<3NRC5eU{|DZ`EA!7jO-(x(yBGo|B1e$K7mO%chSd&H4!o{}hU& z*!?c$WTF-A<%-WQccRDU&0l`DuWjzV`brhVf9jdg|KTqVrh4{9hKi2%Z%*?Td*vES z_M2j;UTc*_=ay$v(8}LWSDnXT-$DBGB{ViZ2|&xUnjZ-q>1So`65b%)O0otnai2yc zMZ_E6$wy={l`_g2?MVN+XfQ~LHj$6F%h1-YLTn=fgk4&taqDQ)>_QB*5*c9_FEI=- z**V#v?)2DXKHpT+P=lALyE#>tmOSOtBu>cW=`9T00{max`ooyZ)-5h55+4Ktmh8!e zzJFpYR7#v;7^M@7pHp{o%1%1`P+a@lf;KHtx6xR~^IoktlP4pwiRM=CeQ6h0K1D`P zPvPls8>wJ)*t`+tq%?qMaHcTIzU$Iwz8x(Sh=qxfJbNI5UPGU;CGsNK$%)4z-4Gc^ z+f*(?aVSrwa0wTB4MMD?s*o|Hhpidt(ht*j=qmGu&Ml$)c2b68-J~N^;3h2c(b6t9 zOU?6w2$lhbACwbLSX(t1hT7AFzQ9UvYu^)f5y$?tg(dQGFrbJB>4qR%u9l#uuyy>Te1u+RJ1;Xec|LZYCTn{$gLL>s+;8;ls}@NNU&Qw8MCWlQ$Z3Np_1@fwZl?I=dka6=i{$1YR_;SZ0J^Z+Tc1Y&D0yvF`#RLd4p9{08g5=Wz~3 z?dtOu8%O3e7dv-t(W&Bqt88u&cXt07RU{@XqS5W zxFVcCpK3u>IOL{8(vEngsWqbKei>};b;EVt9Ref2T#m>E*l0N_Z>xt(8Kvtmq&f)0 zYWZ*6GzL37@k$>LYf`rIT-IB0vbUKygixPV1zYQ2CI86oW}orr2dlr}o5g^En*P>i zamu8^p2({9-V2YIRO9H!35!Kr*PiTV3F-iZl%Jq8xb;N)ZrTUMJV`vq7!(xG93wdl zA3w<4fz~L_o@Womv)WD_$w0eVJ4A3Li*kk-Ae$yJhKr}kOp(mSS;mds!fr%ge?*@i z1%nbc4m^I)JBY+&Gh}+A1<=LwMuE(2j+(3qZArS3)@di&L0~_t()xq6J*l04bK{lo z(on3*S=rl-4ecNe4P_BhhxXNpr6owc4Aya$&q1aU4;TQUG5+Xj_TwVrh&vOdK5 zq?0Yzz>1+)V#JnwU3fQ=)%jeX?OK*rxX^%Wo$A7l>^kh+aT(m6$cFm9F|eG00duxj zV{u?r!BOT3GiNJ**DCxmn7QP$wO)Ip-v$k$)N-%8axQ+jTywoIN*UGyQE=X#w#DPu z%`$`>^axWXJ2)ZVRWNN#+fC~3Ibfx~JTt`EoYHt+ z52a#tsM>N3ymF3|LechCN*kOACan6p-|-Om(;4+w4}91P^eeojNu|XTw+_Z2Nfk`7 zVJAiXg2heR&QhAjc6a1qt^qA-(R{btX;R<1T#NI^NbpF`?(#UQRq{=sXBTT**Um3E zEnhtftx5C`>FgeEvE;v+Rf7i>ozQzW8=Y_6hTLSIzN7}g?ipefrL3ksHwB0 z`)>` z-I(ZqUb@nQ#ehAB#3TxiazPk;v6y z4tWWK%=TA8Oj&=9IG^FCrMb(JR?x%4d|QXh(ad3~{PDdEyN*%q!G)~}msKN6{i7S1 zz{*E2xoDp8gV?&J@o*4VXdPD}T`3otDf!Y^4yjEmRx-2K*U8eKZj0jSz3LH56M7{0 zHI4!76=se*n;=VQ;vHlFKDDt=uwKgUg3A4tiX)n}uF$<(Vd;eb744dBOUr%Q{L+KI zGoGa#d1ng*E-B;HJ+NN%PIfdUrneN%JF z#^sOSHr2BHijbkeEu`wMQ2Pl8X|lg2vd@LB!3}4IiZCSR0AswhOcJ`KkY;==-weKv;7X4im2~Ho;O)ZQ-H>U zuFw{~L*Ud(Rs!<{M1(}i-CXw0%}H_Hq3kEdVcK-^7u|m6zCILBpLYEC2DTdruH946 z^QYFYs=J}jch-*V(!IG4shuO`Qw1DJaXYHiIQQ(CZP3lq`c4&Dsa!sj5lU#|TjOiWBnd?M9TB+s5ZdjMu zGUaM}=n>dX2IPP<9^v^G@g;vC^GQCmjxZq+=@Ox(x0wD+0~;0a0}=t( z>F{YoSNt#KC_@24NDKCDW(YqK4VI+Hu8S(|itGx^9Rg95DT#e3EV1U#zJi3gOAMHX zQ5x^f@l&?zW-U;r_BnS0xIz%sV~nVGc|XdHBpDX6|4twD#j{5A(OUxHld`I0u%!In z`4FeVTNa(v>4N=5?j92^#q~#fAI0-WgGqy}9x@gVxE!6u!py0IWHb31^^F<)w;aBm zR*B%p>(4Fxk2N3mzbNESRkWpRIxK3;3a>q=%5gb5wY2X7PR;tXEr{(5r?3OVQ@XUV1Hw^`r^?a}t@f5u-dXy{T5&fBn%T@L`qYt2 zMb;K?cRL;Oqwp3-%vF-H(?h443vVs2p^8Lm6UG&u1E&lY`zHGvCq~UY7ZOj6bjF~E zM=lS0soR5-8Ffo%|FX0@!!4`ldn1rmVI^Gy)3s{x-h$^=S$m_RO>HLmRs9w>D9zI$ zXwb*M$jmykBO@_x5tAUeYH(k-^bd8S2 zGXxvSwcR);_H|5F)mk$bkS$lUdfNxv_4c_Hw;@d=n}b{=E)HrJB$Zkl`c+ov^t}=9 z{*mpY@nlX}ToW8Goii(@+fN^#U7rp|Zy}`8_Xd_cw`}~_(pzBfTx`O43$dO%N85;3 z@_B_^O-V9O2hEjTg#*i)1+6h*kDhH_?y>i9i|LQHYoMV`?vyKn zUsiXA%|5^T3EB4g>Q>-9VWy z|j}JuxdKoB}kGc*`?H1Wz|Q}y}RNAzYc<@)+7uldq2hZ zd>sU2)~G%FQcUopl(Zc5q>JNq8vT~eZ1yFoPKx}O4xqPkZ9;;Y6?V;2M;4|E3d)Pb3uK`+^I(1<(R-RZn-(#nI$K!5yKPUo2oJJSZCDyypC z)yRk1J5jl_C%#q1HIJH?=lzH8F{L`j9S^D4=w@UI4< zEEZ?s=hQGUd19UEJmDT!`7jyPP^@}0`7b(#P*Xbw!rxuxgCpbBF6dUyyF-0oLY*Tz z$>;do`R@6wzrlZl_YMCR?z;@$1YwV~{jQ$`8AT|xD6(J3kl38a9AHjtPEv#7gzSW( z_rnhcPJ||@`fI-*C0s8}Qtj7qKXEt_+NAog^L}R31?5Q~{l(CKxxkU+JgR^8dSUaP zd~qS<^j>`7yvHFl7`~s6-5`B zP5PqtMc-8PdrYCxO5h1vf@TIX5Lw1n_a+Cti)Z>%dIL|SUxqVQ5i)c_tok+>Fs^cK zjd@{*qq-;L;TlCkVmTAXrQO)JL8gqwAMRnt1&=S}X_DK$Jk*Qq7-|&ZKd5!y`I@dW1i+t8l5~~|^ zzCaJ`uTW;{37Eq#l2B6`PU(Sb!Bz`^a10a5>#yh&qDoiKLq#c$Rcd`I4b_HyrX^U4MW?@b0(di))D6 ztMHkZljOqZlO_-tsFist$!*tY!AY$6lo#S-pITIgremRyizJ{GMpJg+TJWI#ARLE| z^L4iX%A+(2fj}jx_7CNFK4JV4sPxQNzbRA#^Hiilg+lpAIpi*}6^H>CaohnB?*TE| zOpM~>oO0ihi(^@+v7E_}VW9R^fMPvJtEDPqGzv})Np>-@_{BI@{nN4c7pQUZq?`)B z;v?$_$sbMU%VHr!F2z>;3hNi9exTIMG)d8AYZkh9$C%HS>CDfZo5qW0q#m6*KsTI9 z@(l(nD*wN9z}Zy`6YDdU*;TYYa)eMSUbD0Mt&{Y4(j||R_?vb@leJX?l3>o5{V7^k zjZ|)gMa~8D$~JOd%Wl@Rx?7FeGVS?#K@VB)@a4TKn==;Ev;ViUKZU&?U0iEWCHn#O zb9>d>SRU8d->Y*FpCCA(}anH$vuj)Bd zdk*S0AL%S#EbdKs#MtmiZ+t7Se~NcKj#cRVrXAc4Va(q)>#8~|9K@^qFP>C_C34B@ zlAZGdz6zJyV42AAdZ`&>@#}~0izGSXZqo)lMz_0cYHj1K*(J16kVa9r$DY2MWO$va zN@S4tXP)Qp!LaPYu&6xeopGBf7pGnOMt4rFco}&*bqRt@$i) zYTJ(_?;UkW%%PR@RsVZnk#A8*QD9L-zsNNIG{VG2*94Z(9MBzj541)`Kt@1`K#o9J z0W=FbM(w|wAOWCs##eFZebU3!L+DHisE4*dGe(;w4kx82bthhcgV7bc7udv#?LdAP zfK4Ryju;U-h7b5bfEjty7ZoYqHLDwfjDX^TF9b@0OYVO@its?TDc@`7=!LF8{*neK zZ{Rc0pWT94)gtgJ9tzLrMeXd8zetF-`TvK6XBB(xjl&e19&>aJ!u0=o@P9B%7^Ub=#*B>VSgLq=g z0+$JYwJk|C+<>;&xj-xyYFqSiU;sU-7x4w$fG!YB03JE#8!}XY7*RJkF%I+v-@;JF zzmf9QxNoZCScIlSiFBR=6CQ()zi6XYQU~H}pyjS$$i0f!_s3&W5S^O|Y#&D+NuF>5 zA?IBVaSlq(=NwHT7vL!hH<7y#sX!8{3i&YUFrbWIEv^bv&#Ke1lOrHzJ5Y$8)Pr~f zPFz>)QQ#5_@C_LvfP$#|9Wg3&H6IXC;4iNBFWzT|w7K$Yxr6cRaeFki5@4m?@AWwQ z!?w_w*e4ZoGk=IY2#t z1=<327EmUgB&`PdV67oscnEbty#{~Pj$0CplFaAHj82|nOI#lk-e z$b8D*+LG~bYZ|^nwpM*rF=HrNM#6~lsyko`Py@IKv=qV@T0s^bYXNT-nHHQDb@Vs= zW(qV7Fb&_woWRfV5JCbHpoAb71kAuN!PG)qAkUJP39F?tq*fv7k-8C2zzyh#{T7(S zLhXot41^}?1|x=rw&Mf77ob7T@kRc(5lcp3y6o@j!hKs=jm>gg@p`-q&G`6@3QitE z9!>t$qA?Lg{zbSsrY;{GBZ`8HqV^#tz$TCCa>QH^OI3&%&j=}o`6A(F~LVy5DJ*Q5o zPMS`f02UYvl-WMDk<7sd>{s>t0)MW+d{f#VFv{8An$I)1t0cu{rPs&~KXAG&Fm z4t|%R?SgjqrKMwRdWo?Qnx`?_Uu#~ zV>O%Nx1EnT{Y$?^`*Y_Bl!)Za5-Eo;>mw!9ENKHr$Bz97AgbzsY2`4_w{ zD)F=WPlG@IW~}4$+ni-vx~{_#dqU6Jtzx5=q9)UUhQ|9MAN4*(6@bg;Jfcy4q064M z)l_wfkv#Q)IC-Wwe`r9y1EMr{w`WoYHb*lSxOXeK-TQ;znLgaZi0z+-W|-4EzQFs> zZtyd9h}#ri(c2*UJb2#dwsrZ>xHER74^O&X?w)(pa6Ik}_~7|SCi+yI#u<98gAc3P zAfC+~^&I8q&Ww0nGN^wz03^4Fo=)y`8J;|Ad4JKMO&Hi_^_lEC?yu8G&kY`M^ll6} zcW;snzdp5gk872*As~#sb4N2w9{yx8_cZh5Sk1xpWt6FV$iL;lGM$EgN^|a{Wx(=# zV;^Q5{Q$fA3#gw^*GI-+PL9XZ(cV*u>u&qE^C6>Qu6>o%=_+1EP$iVARh*gqKu5tq z!^~_4lFIEt1Jm48--4ks*NhYcsr^vYFnm z_|Gl;*#25kyP#RX8|%iya@TJd2cpPVPrJO6r9VG7leR%rc~O;e5KaPYIj7kfmO>aI zxo6aPucaO&c|CqGp`Pi4+F9E zaz~j9Fd|iO_Me3dw`l2~SJ}e(pnY|v=o}i4A5%kEcRV&WPs`{$6Xv*e56-keuW+L@ z=sfP`TMVzcW3-^q?d&JB_aXLPBP-O`?85{cGJ3)ckSzM$=o zU6Y=Ti`z1~Klz$`YZK>oWqlO4W3z2_*d_8X{NTR&+1=?>*kqulXN1a!$zFC;rAt>< zqfb<~_O$7xiQvvUS)|3NNHhC1!KzL(q1ni@!M^8fF3`S*OSR6aZ)wbNc|PlQ>S1-% zV|MkhC9u5~u&CT>B2#M zCHA$G7ZxV`NVsj=p5(w<^6PPBzansMs$SC?7t39hQfe z`mq<$T}~Ytny^;1qdR@cS^tPs|43i|n5rQo+hq1b+NS9MpADhuAUxH3r{H$^+BbEy z>41by+jfeKP1|mY+&Z+$tXq0+nR)k9#-3uvzGB9KV#c9j#*t#iv0}!_yRn;ZCLTX( zxz`s6&MlE#K~P*v5dZSAv$4nCQ{mMzu1UM@xx<<_hnuK|rcJnm5M_cZj%{s0H06N;+5EsSwvNhOiO-x|J?hF70 zzaX(Ms$(xIRS5uA>m6ah`zAy2_pMq$ivD~cc;W;`6rOzu>~@W+{Q~vRpE-+YUp4R= z< zUw8kJPYih#U;J}Sqh=0sqb`8CyD}7l<_bUhR{&0hacF34Co={5ARYL zumio2@YCrZ$1V%T!YPvt(#any3h~3~-KcYo@BlU*k1@|B*YPI#T#!u?kVlDSDKQQ5 zf11-Uht~rAf2o;O5m6&-(A*2Mhp{(~V+e||=LXm!a*t;Bx+!%RL9#ezCCFM6=M&50 zsL0=!k({H(r!*t@`9>q>2bsXmwMh8G>169k2;*s8!b%qg#)oP(TFc?&C|w6r>=2Vm z$P2{d$QBn^{yp2#TW}Rr&6V4vc&568B1<03#O>+M;i}auO}o; zu4g2TGmHQtg;N4Q38VxTL@>|wH7VibBnL;Eioi@*a*u$7$^+RoeH{VVN5kYI8HNN9|%A$bb3hK9M{K6Nh+ zvs*wAPJfnR2!Kcn3=Dd^m5jJ&Pn4JvPH=+`F^WeXvKq5*3Eg3>#)EX7`%I-Y!_a^~ zY!qt!4eNLP*k!jvOXyxfTZ3GZ&3-#2!sW1vQbRBooHU`^YL_os3W4`GL9=r-JPCv7 zy;rV*pO}{bVSIDAcBI5vZ5UY2CErwZv>HUi_HdX+jY7Q;ajy|jrMy?J7vx#@PqCBQ(szwLmn$ zn1DgF$%M(Kx+&0PmSOBy0f9Ks#O5fljVj}Nr6}$o3n*gU zgWMH_onQ6v8s)iFy{8UM`k7?#=Utj}#9zGizV9Ta6I;fcbs3|#3nIirCauPxUxiwj z=Ko}=8%LfJKm*yL&(wXZ@aWSDsfAT`i=oasL1tY*X2F!Q=1Nlx*7qLfkYUK5=c$l6XcPi4!_YSp>d-ehghHW@-N&Ly z--B-9BZ}W^CI_L=k%$!ZvN#s&XOeCj0g0E!l@@{u%$CNhvI7epASL|2*1BMBeqf9T zFlz(=f2#P7o5=&X7#-<^iT%ojAb+ZO0jiEp1Y?osS9zF0=ER++*_uGPwu;Y4+Bx%u z`|rK-Qd$KSckojR+5}0$`I(Udg9ce}O^G|%;{mNk=zs!^51k$&l%1&}l!t&BiD|)- zKwxpjEwZ?Pk_Xa+(DxmUWFYtB_-+66JdjTLIPMzU^SPdwd_w6k_z}YbbHW<9k1Vgf_X+3hQ;IX$j3qh9 z5A!5235RzgKh!JM62o69F4MH+QD~|z({z5XlWoIyd>jzq)qrf%5v(Az~j>oXMSKiT0Khw9N4B=yF!Jr(oG;XL! z+J&H?ycx0?>x=-044H6U#cG#AysxP?t&pqXp%xI^?0ZDCF$#(H8C7h+>pVryfr^T` z5p}49_7-)sQuP6kV)1|~vvPZm*`g}5r7N*8L_UAnf+pO>@Pu5bDWM^f$*W*q$YeQQ z(cG=V7{F>wkxzQsHgvH8RX4ji2g>^8%fh}+5gq#qJq5S$vxW>QRQ?mpRtz}K?dO=* zM9g(ydU3SfOdf)iheWd;YT=A>Sk;(qyV}v*QX=0Ys#m*=(78L$(ura8PNYSqD!VmO z!o!^+`rg>Rz|U@fPN9mL0O9m5)|>_6!a`*8m${IVnVK?6pfraI2)!z5pU5W~;P^2R zSf%0%Z9xnu>tWZg{{*9N)z)WP%GC?#y*fG+P#UOvi|y8mn=f3GJVeARbzP=`9zew0 zNutS4-Q2D~g#f|=I-xP2z@YE|!a|i55sxP=A^iejR^t@mVaK+gVyOCyxZ$9|udT1A z@rubAS1ZLc50FhT$_A;gH97}>lA)y8TJV~RUcO?B98k4Kcb;zRYexph2cOX^$Y4-@f}FVWpvBFe5(_Sl~;bSPQ_Rkd%XOe0q)8Pj$$jCjrPL zBLI=+)z73b#3%TIM`Q0dxwDibiPs<&ar(yJ{FmX!hrN2TzHyZ=K_Iif@tTOhE@nBL zZjE_!$7q#MTM`W*wgm<>FG-=0E98wtD>I;cw5N9U?Z`bGpv!={{~dD{Q*L*mRWeT= zl9Ls6do-8e$)&lowNT*2HMEMl3X2dBr1GKjsMCK)kg{_{k63?JiD(%=0q}Mvbwnuy zkn7)yyHE-%nH`<*#3H|}p4KWmXBxH8!`nug8d^N^BvRZ^HuDGU$E}%^KJqNpt(h$N zce?cYjw9a(-WoKhVrN?kmowxc6(E$`j-S6jNk+b4$pX*5cojM5#*=VDl(JKH4^E zF)fv$(6~YF0@I!CpH&0CF}{X)WFDy}gPV9A6atySP1KYJ5>n$pBUIB{vU1p$3OVko z0F%5hfX+hkZ)ZQ?K_XxcyiK-QlG2>y;n$jm1gicTX9ValOtPF2C;15{bAl|?D^#pX zmM6FT@?)+_*Rklam);AMfdBEC*r0e1J;QgvBcviR;KqpP5mu41^Nc}xVZ5IhTdA6J#h>u4 zD>~%Un64^B7wzo)X#mRIcZ1M>T-sR^O`pr9coc0apTN*frBQqbwZ*Lv!CBd-S?os( z;pjqx%gVGV<_hIH?ux!K>hdYa2DnT;b&qvW6?*eMMcLetlrn2NEi&G&ut5YGl6-h>q zlb!o_OKxo7q6?f>2Xfc%r9ARJ&gFePEBL&$me#=uL^y*<6WzqbLmKr7&*=EF}LDZ#nOC)|(KOts<~`0^igBl3vM6d#M}D+bH{KVQjI|GlgEZV>ps_ z%kabpz^pCflXvyY@P;+HGHz!UDb!m!;phN?*S791`)+99a%h??W2a*+!z)+w#$t77 zM3VMJs}OF^=QeWd`AM|d*?;4ubFb;HbPK>Tzs=mktp#PPhh7*2mHt$w~Q9 z%j0J1$YpBBJpGB{VP>m~@NTLW^lPu~vG`(B_GM`^7)pI!c8KfN>fY-4jwjj2zH&x- z*#1!GkQ8@}EjY~aZ4tM{+}XnR?%34b$=U7H3o176;Th)Caa?M7;fA+)lQ`B?UYSnn2FDQ8!Md}%%U*$D`zRXMuVTw* zM-R@&`|gbaJ9m!<9wgh#%(&nlpN^3i4|mV&=?rn!2{`fD6aU9dy9#OoE}q5HkcTVH z=0~RB%k7sx)egO%>Z+XH$|+(vMwu zm(4aBbR zpd;Nhov|(fTN}(3js16rVvO!%_=FXj)#0ecW5x1Yf*oqyTGZ-{yY>-@qQ;XW9CSBW zE2>CGX3n))NLOn~u(CYD4?pKC8|BJ`lagP4jtdhrsvR85`F3JuE`GlZRc4p?j6YHgzgnnGDi9dW$8sZ)3w<0Dn0N5J^l?BH;8#LXv!mDwHOJ2 zfw3RnE?rcL{@jn!?v}Zt%Bx(TZ6vK)?O^7Ca20A~_#X26ETH!MIE&o!Q5sRg^hnQ3 z^X-t^2h=apxrFbnA#FR6FjsB?3dIA@f<+2>kQ!zj-x<%o3Jte+;EHY;GDf;jFydWz z+HxPZ5yFeMjkwolr<0Z%HsA4wIu$Xw$AY}Vg1kGRC_p9(BgM*Rj9QtD(L>Ih=*#We z{@#U6J+g0uuhFY6X2h=mt?~Z|7D4!jcR4t^SsML;5;@V*v{_)GIa^B@w zhr5Qrb+amVE*1lGhcH}NEu!`E+}CC%Lk=h~Nk+Wko!CIaEia0-xsyh)l|{@@FCq7kPHlWs`hm9dS&FC-{XxREmWGA4Cd!> z`5pya6m;Kx+0g1{oIA?^>q}^##OxavQNa`^$M$jYO9|Vg1^O>4-kKqn zc>SintE;ePeJ*mRChyjVr<=Qj9H~9iQrfwKa||l2baVMVPMOaU81U<9IzI2hMiOc= zR%t+5y{+tB09hgtjYx-rDI5TOA_qc<0!R5e?r(h#dDe|fh;1Um-?`qJhri;>QVQVA zs&Ur}nwXijmy#6$82G8)-_X*{!@?EeXgH53%A#E}83lY`VaDiq3I=~A2HG}ZDc)nR z^DIy{|LUY&+RMtW$ktuq=PlJ3`BhS1y8xkTF*3^$yD&24lb^2nJM(S~eK}RawCZc zF1DoE9Jt=PC{H-qWi%yaO5%4ztfKu)=}~bYX6AG-3CaUZuV1M8g*!j=fFr+eOrSAtC2pK>L>e^f@wi(9-ue|%-Jl`TL$Tz+ORDytS@2m~%V@qn!5~p17nLivI1`9W55r3t3@;5BtP)}rU>w7(9jUx zmKl^X!{XFu|hg> z5@oJ=7Bw0aR<-+i*-pk4yg$LO>eJVy&n_PkWuN>>b(=jOGWnK$Z{sxB%nhwC}0X8?1q4RN6k?ITx@DoI*)E^X=^u$nF@HfG<2_RZtpxb@_I3#Sl;KaSs< zm_iXkP+^#?4RCyo0;Ug!I}bo9=WudPoR;}%F1roaQR&}EKuCwb%i+`vT!@vue{Wof zY*NTLhwQ78&WC0rANg8Fc9$to`yNWMZvPHDUB1Iw2>H6*_&pxJe}mTQz{Z|0IS!(n zw?2LRWrW3?;IR6^pRt#5M$Lx2EPMQ_qe^TECp+xf1Mjs%za&jHbpwX>LKs1j?BfghVgNJi;)K2k5%tn{vgAjqpTV zl5tkU8;Zn?V6rcMNr>~-9z_H@ef(p}4}W{JmJ<>TOjzwdk*apPHd)ifolcvZVSb^+VUFjJX(n7wn76S>NW|D zYkm321JBtPt6IZ4bR#+6YOMkO`LGr}Fh=F@Ay4FX>CBuKTjpcZsqc`u>l$WIdK+o& zdW=Egqmo!$bat*I!fx1H zWpi%RM0pyCT=|HFA(>nWiPpBV(mBUeIs-EWa z^u^?ISAHj~Y5WY?z1)hvaQ!Wn0o}B7wNc$l9G@jLmoXtOHhKxy-JJ3!pu@LpaZt*~ zph{@AD6{&svPdD(+{$Z5h>LjdRk^@VTxbAchSEk5Z}X0T0&n}scS&J znerVtI@q5(T>N#5hqoOr{+a%=-^Je>{&gdQw;dqr!v>Rd< zKS|&)!RTKgz<=lPS1`gG2IEg6dkxW7QT}g;jK4Gd z>x}=~y#AlW`YPc+GyD_L@OLhMEsXw|3xMo@G DrU4b6 delta 9121 zcmaKSbx>SQvo{vpgFB16ySoQ>cXtUA*x>Fi!6CtYL(rhX-Q9yNE`dcZdFs1$pZERa zJ9WCIrmCk;PoLj(cXiKf9CVo$s+tlk91avBA|lj8YXd4iG|WV6Dh)IZaN4Dp0w-`& zm%HP8GfzcAGtV+!flZ_Ict$5js}4vKsc^oV+_`0T?0dkZK;EcG(qv*II=Wf4omOxP zy(~2Sf?H7~jPpXQTLjF>x1DAe9GpWqyz$Y@`fUy%kg zz~UOje&JVpAdVEl%^YM$;7E|&JO21xR3*1GO*wa4g5zndFDwGYEVmnTozS)f4oQW1 z0}5$dVl}W-;B{CL&$6`MFWhVCCPV6h%@#NyXX2JrljHM(t;GG4N>d)3%GelaM$ZXE zE^%Ya5fW3rt^>1dtd)l$ge&-qKo#wl`-il`-to%JIJL}ADm8|WK)w~^EmgX6cLX6i zi9JG;CuA$VKa!oQ`KAjfTIKFn1hfiSHYe0n?y@t>wtP1Wg#}Jq6m4}HAIfKgP7%HC zL6ACEGZ#Gvr%&LpD)Y~5``s8?FEDCK2#C?AW*6GfP*8C&P*DFizP~Eezn-Q+7?OYf zMU@~0FxSv^l^5sp<~qeQJ5>816j9@J5Agk>ux;LkWaP|`Ly5GTJ0N^_aZa!pQ>_hAhYHX)f< zR#ue5Y4(&*^ol+&?r^5y5dep7sKF7@rwli@@2Y#Sa3Wr~)@fO*ysxUvAqPj4KC)hX zrD~d?Y?KG%E%I=?*DuUBPVAQK^JH}VKm!&~a$?UpAN~2HNT4L@6Y`*2gnX1aEzp0m zYg&t+5KH*|49ERJ>>;C`ne>M+v#t&W=i;f6YjZ4dqoyIbrX(IYqFUy(hEYJeyuLxY zwg*)ae^ydra!+>?T6VCq70)WGz1>#;kvY~d%ZFWf29tA zTX%&^SX&q&K<$OarB#@QOug9}1juSEH|vnErKK)GFHDDB(IZ81p=iVzoQ9u0%a;(s z#4z?VU@jhZw9BnWxPai%V z|F=S!=I;k;%M&Yy6aio7BP%aXLBcO_9}beN1nX9sHGQgetY_`Dd3|yl34tJn>^=HR z^M$$5%9+$uwfIV;$}ZV?oO$sQhZx51RbB?4N0MR2&gpnQ!DvZ|RH0{S8^=4~S9VpX z&lhuE{VG0A;{GV=;Ef?dZafZZI3Og`e=iE#Sf*fS?<7}i91kvBFZxanvg{sT8fSi- zp~GNik>#!@GP~rnaHd!$l-6RQnR3x2)iC^^hZNVV%p^unJpdLh;r zj6_~KS<!=_L_C8@muqq|@$^QODgyTa2Pc3KR$~3J9GRTnZwWJ%`Rk@B{los0Nw0$`azr)|P z78WDPO>4B&@vsjL(|NoRfWQl+09a|RkrOZ{Lfs3l5W-|fN;$oj$b^ykmp3ncPB2|t zur^yHSwH@m25JnVj$azYM->mf;x4zu`)_4~F(y?daUXHGh^F`FANMj;i+{$z^OKGb zJ}KwUnUNty_#Y{VD{0x5Zm5XQcNw12@x%#iSX^w|FDLy6X zL!Hu#Xns;ffl7|yHQ4Mm$co)eQE5$>Gc~s6GPDYDKz&5ytagCMz$5Zpbe=2~Ch9)j zVD_qaH*|E~AB<26C-dz%a^|-4c`J?CN464$WWvMheWSsS z5-bkLr3;Zt>M}Qx^obicVjtM*B8WR5b;dbILp4=}Qx%x9OL82NUs~ciJ$3Ghv41A1 zDfaP<%`kG+6Ws%x^^msKNh;BOKX#1&7c{WF5`-0v#SayW z!)>8pb+*wfh1oplaa_$BpdoCt3zJ&AXYXsh*R)2W#TFAA zC&G>M!@kJA7=Uz%YNRf-K!f)q(!8k+*||T8E7EF>TF~he_4U>FY?+$6jrC9egmKl2 z2mhJ3fKBuN4dXaasR@X9z%K^IuDfkmf#-D;pJGL|c@+X(Q;mjFzVIhF8!OzE2Vynz z^5HFH7)2xJy*z46902eSS&X4>wbsjErkVCI(ITv zWv=!J<`QjuZf^YMGf-6958hH~4mCZ0@t6gr(9c(PlAe|c2NK4w0Kq0Cu|9eQ(q%8# zoh}LCTHU;CC3kaC7K>-$Nx%A{G>_vFWc1O7Kxd48LhKb{6vo;w?eDiCru?_?ANid9 zK6>gOCYs~XlE(ozt8CPwK!ltQ!6j zq8`nDJC1N89<3G@amuUx-C-C+` z6%b68+&0D@E#9~p?LieP<5twwT||^;U>5&aGs(oHFh&UGbwE1dT1N=RZkr=xa8W`1{?tf}&dy)b*%sEQ>ZOz{r3njpwd zJW%@GQiNh^scHi1*~~j1(fZCOVYV|4gzF6bmJ?8;%axLuV|+oCvBd9XPJ_s0Ms+MI z-`*MCU!L@Q{I_KJ<$Cww$pr1(q{qbNM?1O)R+jxu)`~VH?GihUBjnOWP$QblIQyd} z2T#fzC%y9?*_tn?F$lkAO|*ChS25H`34mH3EaVWhVnPHfd}J9MTfG;QN*3F-*4$;8;CX<(Qr zYfmiurF@8X&9nC}FBhTClicYaB40{$xK80ZR)w2?Q@Z%Dy-Lk`3I2lqE+3LUbv`)z z1Ab4@Xz}I;ylxf%W!5}4ADmO}nPZogU^wnA|I*HrA+%V?ro(PDrvCn@F~Y#;aQ{~M`$4TN{}wfe zf<4{W1nM!!Qi%yZm!%E&4{so0i1_j#I@2y_{~JV_<*@kyg{B zR(^s}Tz|rxAL90J9jkx3skkhaBhgK`2Y$maCi5PkGz}|1@^t!Q5-dHkE*eU$uGtmx z5(Fmt@oVI+%u>t=Fxo_im624_&>js*=rJn4He)m%@6D%{dUZm3#wf0*{8$H2Oku2( zU%=6B$7T1*=En!`x1^N|#V7(TMCO>iYCaR)CAsvXo>tX0ynl+UxJ+8AvcJiScQgpi zK7?q?yw)Px%gi&T5V_gz%uGj;8(6tJ7?w+w&_hiRG$!Sjm!Gr}CB}$l!wicVS&;i| zZJN$f*x#cuvp_OY%`UZy0yT1lMq;=Q99U4QzogbQLYn*D^kg?@K8|F^#7GHu+lgpzy)={xJzHA7Siaw*FBrr@3R8G7zGI!uw)GH z@d9U4QD`Lz7V%QqhWYhhK|`jdzz>#-YF(eaJU(f4q*~L;L!+eD(h>uoyHpcTvXwYr zUM}PWuc2L1+lP0ZzIgYPDP>wibQgE|BCnmyY+0?^hBP`!3g->?@7s? z2{p@~O_aO7CO*{T)Y&vYC6@zjRP@($L~*b8w(2e|c)byR zrv$OREQg?Xde*79EX)GySiNKm11<%LGxJ8hdHqIjP>8kDv-( zHf|2gZh-^Lx?v2QF24xnK!R#_#lIMYj={jpwY+^ZA?#Rt56v6MjZfft1QqH^XAoQC zI;cQ8atuO!5)rTrch>y0t;S%8%s+$n4u5#j>fpvN5wvO)F^;!I4))sGTN?kv`0C1g z-!yEIKCKPcnYuwo4J0ueB{k!f6yqyn&>%|ZL{*0T{@N^~$fS|OUjJNBz{#i~3$W(1 z>-^aYij8yTsa2oi5fiiiD!+`y0FfAdd5T*HSn86N5-;Ls4F@GRe$@blPM|1TFEOf) zW&l9%y#(2k<`!YJQI(mZOgloUJc zf|IjWL9|x4d$)~}$7ZgN+xxWCZ*n_DP`V-z9X`DhEt{ov3P6#(al0w(gx>T>8;SR?4Rxt!cIFa=@CLX=`i4 z$B$^6%5w-C<=PjN9JURD=j_BcFKj0dgZmKdNg1rUB`N!R&~Ppi69I&_R*xz?9T4gO~tgzcXgK`1e^O!X9-*8qGHD4 zpM)0@@<~b=(mzMTqe6^g=-r5nFNY{IzlpO3&}4RElQ36`K$i~$6W`|3HQh6wJru9R zV!n{+%$vw)gZWT_t_AP}$YY;z^|T?viE1Yik}$BJ2C+kQrF(FLleS{SseAw5DCz6B z_m85_*c)A}mF}i2h=t@OFV}~6rUTZ0bf#oIAo4EVA!|;KZR%dvofqqu;S(5PaNFz2 zIPas|X%s^tG?G$JmHcXbV)STQhn_|Dme1PHK#2%#k}y3~N)2V~etxHP5?hEE_8wr6 zby$S@hnjZrDfWP<3{EqqO~JtIDHZm_qziO6+yo_>7lOMD(l*IengxdrQT3o?AAc;r zUSc{tO5+UXM6p;{Q&cF5F-KS!mm=ctsa+C>JUN*-2Q?`Khlc|^4I=C^bKJ5?K^Qg( zU`53$1py+Gx`S`ITW1w_>lQ9ixmJG7o>;xa9# zve1#NV&!Rg6bAK#u=x~Zc0L7(b~#ty_iAyS%618)yf#&C&dKs$>@LYrmY z(JR%r1H93Syk}sZQW<5AAL3hfj0qfHm~~Q`Gl*)!<(JdblMS!Vu|4qx1z`oBci-9P ze+Frb%c;PUPOIHx*=4BtCHQJo2&15-+b*zA0npzDuL|KZCh5r{HLTq+f3MPWc|U6YS(mg#QX9>4^)2=s!uy7p zLYP&BzgPLXtOTHhKSZ46&QMG`$#@40HBH?l{}v(PFFM-B=TC$0?kVDi_p43x)VGst zVrfM5>co=6hFLLm2bzCHw%Z@@4runR<=8bUU;14yu}Lw#_bXgG!f2_P3Lm)-O+=tf zqIkKW*PMh=g*Y1Tj0}rq$1BOM=m;<^IV)9svTKBlW{SFy>q$*n*eQaq^T*Tu8>%3; zlB{{W)bBU^gbPuAll&O{+XZ)0h1id>UF8pHL-3oTr;fK%Kx@1l(UmdDzBe;cUNOHZ zi5EzlA0FXrgLZA?dYl~Qdj~REs@l1w?Uq?Tve4c$aVx0adjEEkMy_1UJ0C#apG1Sqn zh_XDK)@d7H5aWi+BGVd|0eC9*q+HRLn+-1_klV|l9%{K9EU4go8RCS`<^l%_RtPPwyGUj*L97cMm-xZKVrOx2_!oT6!f zG%5%=>_aT}HjC764IIFdq7|moJRMB?hA1^sFc>UlS%n8UC2I>uoIfGDo}!5fjQKDn zS2--it-bN4qY!s5f%LVS-8ZAAU_3ya6p*1;NtV*0Qk$Z~CJoSGmsZTGQ6nu9HTrqlJ?;W%h%@C`PuEd2oq5gO7EiU9stPE2qpJr+3h zbepA8Jg$YE6Y0d?GnqQ9g%4k)4%=~`6}j5+NhXt#x6`(sHgsBxFs>YEA39xs9iHh1 zTU7K8G1rN5qlquA7~P^Xa)2Jz8_RLpapT=`pOw}!!HI~G>@?NWI$;Xt!iE+1vto7G z@Q~i3Zyc+()8nL>3Ry|T6@hb=c{K~fq_v10lc?WcP9r3OwgjhWlwLZl1vw1;3V}f~ zQ#}gN5%&$*fL?<*DjlF>3dO=gKpbeqlG#GIyOvctUAg_Q?M@sD`l!IL*fT0ZaXPJ$ zhwO}K0G217wjXLS7o&6GhYtl)Ny!%{bCs)hbp^5VW6eW@%1u$(wR{8$lF9+0(>jE4 zi*LlzaYe976gpkjcBf#lN*vHW#_LRGV2)bsN6)Pl5$+9);44HgL)68W?6=l?*E=7M zbBn2u$I%GDq#6atf;I%Hktk1Uqzt{=i?k;w)3=E>r}m=*;ngFCd7HICMb0a{%{w}h z&1m5fNqFUi8`FYXfR3_TM0ju=`dHbcK(|*uI640=}9#^!Kh}pu?x^b5zaPkGbYCjBc_X_5|)c3 z3KkU$495(!Md=CY+Yz4G;Anoy7w>>?9^u$?6gS)@Xwzy?#fER)>HzH#tT6tX;sp~J zfEwJg-cE-eiebDR|8`=41H1<#%0zB4?Qz_ENTTv>Lam3-lE(${kPfcapoR(HCSZ z*MZXHZ^rWeu39?k(J_`VvZbKrikTAj0mc<`rE(RW1rmBj{d3jMIbP)OZ$`rR^Vvq} ztgyxd!Fl$q5VspW=B#jPN`ZoeyMxc4UZy#0qmMK#*ba!)DVI4>_AT_32`h-twZJP= zBTQ_cIU;wejti@X56gL;G$uo&pZ#Ya(J~Rr^j~Kc^m()V2gr(Yg%M?%*O}4))N~v>N9z639gHkRte21qOe_c|=1W)w z&bDh-rIX#7(dqjmjp%QsvB}ca3L$!O8}@OPd4qsK-UTp}Yib@32@uU*eg2L5@-;}1 zP!L?3NM$C8F?TmvUqz2Q$Yi#~Mx5ho|14W&Bl8oSCp)b9iEJq1IuRDOTQ1jUW6AXj z{UnZ;!#ew93wR743Y3gzm^;%8KVycJ5#K<9D4Tg2+NtI*$oxpsa~tJ?-fHjqYl!OuAr|3BMZHOTv4BeQ57%Tr1_SmyzQzmD<$Hkh0^9qRFHAQ4 zw46YvWK?H1GLz?rt(Py>Br|Jj{EY(FTdHh_jk9N3Y74cv zCyYV^A_JZ9@d9t)PNo;$^W%>meR{S}79)At63w*;bym|Mt&-Oeg5zq^5_V@;{90TL**`?$6(OQd0Z3@?^F;X1) z?Z;UAyYaWA)=jKstu)qNL#J-s?aO|smzz*$yQe0n>2IX`M=f4pTPJBy;LgP!e`jZ4 z0RsQSU z&I##oD=ViWqxAIe#yV|noCI>6wNk5l{Or9x(0VCjPlaP?=nD853YUGi;w80?t$9~q zR(mHJ_UkW%4n+}E?Q6XuLeQ6-88-NxO{X^C9?E?!@ zFbehrRq^e