From ec06064ae70042aa8b1864bc0080e058867556dd Mon Sep 17 00:00:00 2001 From: debian Date: Tue, 26 Nov 2019 16:44:39 -0700 Subject: [PATCH] DC motor components order --- mfg/components/satnogs_controller-bom.xlsx | Bin 18003 -> 18853 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/mfg/components/satnogs_controller-bom.xlsx b/mfg/components/satnogs_controller-bom.xlsx index fc7946297a9c3d475d1ca3141cbe9238fbdf1eba..ec2ed1d5db261c20f8906d9b046761afbd5e10d8 100644 GIT binary patch delta 16667 zcmbun1#}$E(j_QamMpNCTg=SN%w#b$Gc&ZsXfZQ0Ggy+v%*@QpEUot=xtguqBC+f@MU*f z^8UyReukv4fpEKxHDOPa%g|WB{!Vppx7b3a@;^*n+&vlkR-$4~jVNCA%$}ML5PpWm z0>1ZfaRHmU72nEM$G~`Q#7gq{Fq<3YyE3toedd0cJAlk55p{uP8jdVI^mQY~Svl^@ zZ|LPmA+h!6gIW?1!J~%yE+Mh;=t0@ls|5<-HNq|qte>5I;Nl@ ziBSj6N%~09F2x^pU{UoYmZJIsmjEYBI)31_*lOlw=MS9O3GbtJzJXEX-`~(Hgb~tp zR(P}P+wJi&EEkqq%-#|5SR@VLL{7&JzS4DSl9#czq?YQO{mM$($}RpA1UNBJMyi({q5Xxb zdv&cIS6Fh!SOD7Z8~ihv0aqK%Zr*6G^MdY0z4=_8Z@`n=h4K3AOStQCM6cm@h+%C| zXd(0$hV<{h+Z&tUv)Pv>HPOKU&4fK2EhTM-JVCvZkG`jo8S8EOYld5eY zLWcbgA8bqkE}f+*`VsW~5PVhBN#Zpq9!^H!W-V|jjBT?L7D3(Uc5>j#1aI9Q=w)Y~ zu0S{`RPhRhDHCUnE!LBUWYlQCj!qBjsw{dU{!4%bOx6Y7kj?b+`z~rh<9VsqmGjhBQ{GGkm;?m#9X z@;4~vO7wgTJ`C0JSK$mzy~-1r19H0QIy%OB z;=cX@^kYJF`RIVN^W_6HVFV@YGl%X3jTn@rO-U|BQapId=T~5LgVpVJfO!7e{CQ#% zTK=+~BIHJz6JXG{=D1ImdtaRh+~TGbuJki_JmvLo@LG0uN3P~z9(n2CBWPDMGrhZE zUZX2LKnqTzuf{e;_;~Q@izj#Oh1abKYk!Gfqr@;1S^{HDFP3=L1whw1S6@>0JS(Zc z*Q5=Ibs8j**xX-SKQ?IQMcWgza(q8wIM zO}%f4R`y2Po7-J!Z|Fb{`1B(Ym1wH`73fx5Rl4)y)46&+M?mZOByW7n*W_*=LiKEV zRiTRugL*OCt}sV%CN*C1P9R&0+&Fep{_K{^bq`fmx4WR>F1H~*!uxcaBhBk=7VNHz zyF>F!_AS2ju~L>77QM^UMbv|#iF$5}R2lDit_c23bQc)H!{%e8ucc(AgJ+{O1=KCQ zkTCr4B=tl(Hc=xxiu5kQ&6$sr#95U4F+=B^t}Jmk7+I>v=B{;$UxPn>yyB)g2Oio` zsNeI%k#>NZX2jfQ4joE8S3wy<7QN*DwFD;uL^=8i17acg zc4&zx*{CUS#NrBlc;+=$85uK2Jf2;1O!-VC4U`jsuEU=}P{4<6Xc)4h z%1uIqgPsymx@(m5Z*^dF*@fMk%T&g8+FuXw&$mo4FJjr(9{nC3x2mDWaEs)A04!J) z>tziIc8&$@xHh{TG8EBw`C``ea3MO**;9?BV2UnX@)R1@)Og;yPLHAoolqiFlFwmJ zhMb>fxt-hR0LXwph0Bp^T|iB6KEDttbDbrrqv->s<5e632Q@-Nj6xHP$X`^l6i5J* z0F+l)eUm-89G9XI{-nGD67HTxzZiPcWF5Gmh#nSidJMDJXnnoW{%ELU!b*c#Q3~wb zvlQ!L$0Qi5SZ=IFd9_ymiH$W!W~mLX$i zf(1{1Y2TfE(VhHg>WJZx;i%@Y=BSI2DQ+G{vQe9xH+>;Ej}pjwBNA+JKuGa$MRa3m zhefGDo3<++#|lsX@n`?qxwE+sX;hPRsd8P#y~Z3&vxFz=9)eSD|9x4LCJ}{U6*I9> z9V}c*=DKUiD&njI63rmIun$LO!P;vGTia~Q2)8D*S0$Q$h}D#m^e3yla_>-+cS8(3 z_RP5E=m8dO)gR#g`Bt;4dgRqTxDpJ6a_!{t7fLY!9Ahq;(rd#ZQbd*Gcc@am>q|e% zc`rREe@Fk3Dwt5gz`NB=VTlUgZRV6<%ks@PWUo<~WTln%(-er-yJ%BP{kafYm$tFP zwr-1`;ZSV(^efp>bD$`TUCry$BU9hVtps#@Jtw+mqga8tV$Sy82%%sCW)%e0&JG%rgyGIKRxM!_frE*+$A_(fj=#5S@?Rm$sbX>)emNaeAufeQAJZJp6<$7jwIgxGE3)O91;su)M z7@_T(5F9`f9$Uapa5RcG!XACD%m5BT!9EURL!ryJK6?|%vV~9>nHQT2^zi4XZz#=lm_#Zf(%28l= z78qc8Z+lz?^@fH4y{iB-13f2p5w|Ju71gL*kzyb$>$CrQIuDBk{)IYeduPtiI9!opaymJ1uTQvuupIN#4Z zx|LOFUR~S)DmAhn3%bfpSRcjQ@}~UyGXSjq5YmPET6Y!=tL%z8(TF;t$(3ZpmI29BLd04Q=eP}3UFC3O0X`3#kWdHVn5?)U@{vK=@z2J;4 z222TkR%TZ8Cm!(^R*FT2TO)RgQZY5;ro}mlL?#CiG-CB`Cl0-j_k+%4_bGH0yL+tu zMMJICUzVe`sd=CRe2e1?@HaL4VZV(vJR&w_qkUf;;!9+LIMGiX8Dh4ApYBep#N^eZ~n6n?fP<@_^Z zLYq2903?%>3Bpm;MRQu?vQ^x&6{}S97WV$0`veEaEkqHaEe@hFW>;fAMd_>{JE48h zLu0+pFC!_y?S%(Syb!I9zPU4hK}9C?T_lZI0*xR_SlO2zxQC2S*vHWqK$`E_Yb_z~ z1MVzxD6#4M{f&k}AxHS;JD!hYx^c>6#fgSKNq%sCcNjhx35h+bJp{9T0}vNdoLcP_ zSq!D8Q?O|Ru@xUx=oZuc-S3nD2v>*A-JOfio(L9F_Eq$Eu0iZilhk8U3@<}gEH0lE zaD9wRiESS;xmKptQwK7*qof(~O4~XsudgFF@%ZT(MoD_K*kEImusz4=YwIU@D96T3 ze)b6@C8?u=3n*PR{;e_^t`2l?u64vfi;F_h6@jvz*R7k?0txSp`(AHNAj_z0xu}Fg ztZEVy4D;K3=$Ti*J$MIG9NW7SbYXabRcWpM+fplYaerw`nl;bCmE|5<55fBR{LcQL zr!TV5z?Hl1W_5QP|MU;@)gG~8|2dYuJBMa9Kw`_4jIwfY*@~5#t@)1HE^c7MBChHQ zmrtnnKFi+Y@1YBi&11GX+z-&dR}S_woOSP?mzir2|3ML25)vRIt(&z~dxoXs@?v68 z=R}pBmUF9#gKRToFUeW6CCxb-7M9ment`x1I07uY%|jI)6oUARxA#%WVu_LgAN2*z z*~3+AbQkCQPSq0g)r@u}>H6!5JZTEAWb+M|EprO+!^4TA*qauYM8(pS*P(EI_08jU zw=(jb{i$k4%og}$=zP(762SMz>+bH*r(MTOqCmy<;e1q`q&<-90T0u2B&F`2PuoYX z0!?ZG)4IcqRYv{DiI>HZ^d|2NQa8~Svj;1R%+;o^%`>^>=FTO$fXjLQeZT6QEPQTi z=*lE$osl6^df~kGCwO`Lk2I*J2mU zMa`)U*1N}}6rQ)l#Myso(D@xk_sXou0=@H*phx^PM zB$4YWw={5}i}mrweI)whmhI_eid$`|gs-p(a-Y0y#=N>nEVM1V@klOu0*B=N{zGoQ9Do*3W!=smUq|QshyHoo9oB>VO}1|gEd*W>b`Py@@(agXlY@WiIJUQ(wf zg7n){t)hL&W~32uO-_`^Rkmntl*94;x@r0Y$hh&^sljJWc>S<%&n4R!Pi>QUxG-*h zwhyd_bKHM$M2lP6uF7I;OJf`I^)t?vK zU77KSl6bHt?;*XL8#CF^pRYzVrslLHeFDM}8h5M2hu-FH`%I@-O>4T|WfjN6dkT>b z6t0cLFXI}qie-k?xyVK)ts5G&@bFs|EZX$kSJ!Vie-N!mE?2XxY+ph)(*)53yxqF; zB`dnbJ-@%`VyCCRao0@u?aWT-3Au{2(m=}+5Q-GI$)}(iPhaIi(+u~9m(~x4p#$e! zL{ik`S#^|(L|WYB{bfxD!c*(X@#rkh!pha;33O%)Mf$^B&0=b{wHsX%YqpEpax1Pt zIl!jD9N>1ph~o`{43b1s;V(wb>WqrCCd2>X%ddirYk1@r!$4zP z;%*}4%X;!O=}wnqw{!9PQ~T~^T(VQODh5E)dDwMY?o;95VV<#qL4<;Pdp>{)s;Qq_ zFgmxK;YS9X5w=L`3LzCb6=Nh}#hQ5Fn@ZFhSs!rR2NURswtoCzap~l7G)CqcTFa*C z=IGj?^EU9|c0O}7t8Fv#-j;C#54oe4n+`NE!8Td0k}gmwHSo4jZ$m7v2PPV0>lhFP zcp3@Mt)ZeCiUeDSJ|%lE4ZK$IS`RVe{35C_(Orm%Pv-2_=>N~s8aMcjFBp%T$`n_w1(iqnnHykRUv3{c zJ%%(xxKYY5Rys&uWMSWf)SIH z-qN8fS++%tMCr;&gpFrOHR>_xz?7*S5*^0mDKKW*2rIPxVf<)PM0sD7=zTfHE$L#l ztvSBoB?)}k3;`ebt40gA2MHzCfV?a!udt?z=`+N+mTPo zh}d^d_q*D*U54odDivIoC@&uEX=OnJ)=%@G*v_5A!h%O6`91i@eYHT2z%W5tzB+*K z0tR8DHSvY;2}4liG3CMKQRQLfapb||k>z3JvE;wWqa%`1W7O#N`w_qtQe)KVjr$3} zkkVi@=*|1-!^Fj4VZ>!)fdgym4S6~rr+7NWJvyv!+1F4LbdI0;7Cd<=7(9KhXn6Qo z#~pdHP?!3hetA!I9L&^=W$NbhY%H$1TqR`cN-KwDY4mwdu-#|3MVV~egiqf1-u_Gn zYXO=5#t7m7wF^DS5{-r5#2>^jj6jjgm}~c(h^Fm(HnF{ zfD55XtJ9lwrGxvUNo&wsbd`hmp#7io?ti4Dq4|J^&rzPIkNHZnfn254jh_a^a_G|? ztb&2ghTGpPbFbX1BV75d(nvF0m>1U-m7nIsdt;zJbh_q)*rEYpED8*#E1)cpt5A3> zkI|+LhebS3so_}DmOnMmv%V?%_4pk4aBqIY2tXKigU-{RlM<}~D3-9zD($dLNc5GC zj-dY1mVZ?Uu;!++xrUM{>n;@>{m~ph+0WTiCe685)l)S8Ui9q=`!$4uchC{Nzq_Z)I0qd5T`K$p%aZV4I!i@Le^h*b>KH1LX*dkXR)LsftSW7&8N8+3 z@l3dC9}Ym*ax7HFG(gqbhb}Wv*~@zJRoL%?sZ2}4_)sX(NI6q(wmNm@vU|p@>h3$% zb@?c=_BJ=I{dl<$tW|n$N~rHS@qeY{wr zk<2D1SH2KQlnBJGdEE&?#T6UH`>uI<84cX`Yq;Cq+EVTO(lwks5jD4rN2Bm^*+_1A zCJ$a#8Y1<7_r(O1r~lBFO*r@fu_$C5;SM2#sFi}KE_H|vj2R59bw1us+Se`G=ahyO2Ng#a`xb{5qvo0ofX@od!phh0 zeBl(D6>^F^{34Gx1u{jFOC`JzVuP?o2p~ivkcOf{Rv{>tRQpxUsSn$o8qfe~i9Amb zAxuZ?Nw5VYp)39>c#Tf@69FuM9KRQo04c5lk1&oF4+7Xs*RlM{!+Cz6Ftjw7_7fpN z=+kMgzm8kXzZWbAN5Uw>3d^j9sDzw~|6@vDjVxjY!7%}+n3HdDl-bWiq$$)~OJTMU z8$?({s8CJubp_ILLA8WxfWC7#ARuP3KtwIA8cv_sgJ1~;N>}_@a2q|g69F^;178@F zAZ`)|23TwBx$TPt{|QMi7zyrYbV9#La{u@rhyDwtCe7bs18ePj_#Tm#Y1*~Fg=#(x zQx;|sb{76LPq+d>WWpZQ8Npcyg=YZVDX2L35PJ$dmp2zQS4vnlq!Murzg2`;m{>3w zN#$RyV}Y_noc~H%E`$-Mgv%8-NeH21&-Zw+VQ}UHhjZ5Ke)@O&p)8^#q$D=WKPx;7 z{WlQD_{Txy%l4rEEexRug#igrWe6%H<)UgC)e!nJ-5LQ6P$vkYaqL8#z)#ouc|u%Q z(Kj?6aBMY|FnVe|C0^>6I1Qa6KO7a zI;|qP{MV*cTuN9eB1m6k2uy+rROp_5B7(3rUuf6z( z%WD4?!TcSt&v`2c?Z=sSop6zrhN2Z#&akBX!cgj|GDaTf)R?DY*f0vs@wY;Frl_7hgcawpD3fu~>=hXyS z*_0+XyJl?KM3=o$^gZ;z!pcm$KG?c+J|xCYq zP9^ zyITNl2ZKcnwjM2~vR-jkjfO7J+B|`H5DyI4a*1WmcELR}!AnhJNqE*5M40PJb`MAI zw0>ftdW&^?Cg(8^?0BnfH%vhASH-%$UfrK#6;z$LG{5&ej-=<`X{9sT@EGy5H{|AD zG!;ojrN|tR2f181X*}yTag)n7StA`k=MD>_6)?CX!kF_k`0vf(07Hb{CfKMg+VQ8aAR-FbZSGb z`!eX0i7mHwF}|i~e8m0SkJmvu(l`+IMk6Qp4*&NKjSuf&I~Ott2&?n|c87)? zooSmgVwG9P_K`{q{$PKaxms8PZa?e^#g@Zq{XDuJ+v>4k0eU;t|MnKg6&ulGl`A}t zd0E=FuXg~_^5W4Pgc~^}@f_JZ7y*9GPwkj-^Js~=wJ(zOpT7HerTxxWpbd>IM8@^W``NH-2a|MsvmM5(SdcCOWQAr@!6jhhz5OV(p_cf#!n^tv0Nc7g5d z5hEKAdy12byy&MD;-vf*zWYA5W&YUey2e~W8u#j$?xk(>`l9zl|Kf4(@yv`#6aG_u zXCnM+ZO<*Wd46u2!uEHHH&2?(ddroaQ0LR1&bYo&HtPN?JS{xBxR(U@7JxT^G;Y@= zVbz-VVrRx;JNA1gk58%$(CcU0uFoyAdV#jxkx6*&TlmRUT8}wrH8Q#btDIswNu0#9 zAUwQ{;+ZFUCn^rESJoJAa8q6=R^cQw>wCv|W@N?DPo2n)vCLY1`zY4cJPMjDle&$W zLS`GHv@mmr%KyrZD~~pJ zn-E0bH42YRCu*)~C2op@aGFo9+6?o}hDwqviar>hVx`l0Pex4AU^;N`@+wxz25d@` zmVh%7SrPjqC}rG-z_S?#7SB<;+DSks%sib`(Y3hsE=)zWqYSSTM<~Dd}f{Ufv%l zvf882Bs69-Tg1z%$uPAlLqF=j12?HnD>^NXAIrY`qKL^F1{|r)39$yRn1><0Yv4x( zn}N(l$0w&Q6(}C|m0I=+Rn?gF|AG3IttjIVJQfX=K#3#=t6tYHFPAi+mYmt|61+00 zx)^%PQ5{mZwL4fuA2N%|f2myL>{Q$54K203sspD&lx!e;X~AD)zSDXCuB}2Yu|0;Z z1m5y2^5L%B5O{mM8ZH}GP!?l+Z?c=2Ug_7F&Kw!lk-z5n;mC8n#@OI8@}o&`jYiY5 ziQ0MO(Z)DzT?5fL%)HR-IFilPX>~FCrsrjS)MI}2ur08oj&NBey(YJ%!fhmMzA<+q z?7nf^tHR8hoO2~COMPlh<+q{8;$q@&^jJGh2LfXHEg)XN*Z#X&4TpxrdNvaJ`vt)- z{vYzXTVmy^TpTumRZ3z_P)% zgdRM_lL4ROO`qe7p5qUm53fHiMZj;FT^nGDAXS*|GG?EG7SxM z=hl_#wo?R4Sr4V3Tze4wlJqAq`VWa61u_3vLNo~D0=E++9VNoL3lP+n%M`}Leg^Gy zn}yHnLbU1axDXN#LVqZ?Ly;*N2f(E@qe?l>$u083?^*B*$zeK)l9C8Y^OtoPD2Jg~ zNVgUg`DiIbaN0aeT#j8N)IsB-&058u{vr)Tf9l!o{Kst=xvI7!=}I#ow=VRQ&*GKl zY{o8K%zx(mxmEL3Zh#)Sfo!OxBc}gz5T{Rt3#8dRJI)2T&iR2nGaR0aHoZ{NcC-1B z#;%Asw2|x(qyUG)_*CE)?Zh zY>&IkFf0IzQ`{GaC2lSrA7mVV?(|j1PeHLkU=kTAclJ_x%R|-Nu8WFUx+KJ3N+-vh zC0Zc{W&u`GC_d~Rp@2I;m_jl{VTXbRXe_c&TwohOod%uw%W&y2%Gf%831O@-Vn0K4 zT58hDJe^CNN$UHwRSNDZk|JOg85vNh-diJHH!1wtm}B;j*;OTlm~Rk}#>fd$D~guT zidhg$sq7-FtG_#_b4<)%S-lZ{$pY@oaD!Qo{ER$?;LOcAC!D0txyHWAS8xZS0VpTR z^|M8=KR?VB-O=nJ5C2hVX@D#E1wiuEY1cP$c-WEHt$%D?OG(yo*Nm1?O_+l)K94cp z)qRi7&moS(8h=F4pPJ2`*Ia;_xgOsHwvKvx(ofCI?C7MSRa2O^Y7PMI0uvq0Vaw@h=&$Lk;Gr?o3^`EFeit@EnUs7wDkL1 zq-!Sh{VN4>hoWFczPD7{h|!`1VR<-6`U}_b1@Ggl#ZZ&-ho6a4b(7p=-gQz)?4>va;?DlsQGW1&xSyuSQ5Unr?Uuae3Ky{vB9;)+6%Wz{8J<15dB+7e<)dL~!uX=-2%*Hx7B`Z|S-H^g{Kg=MlSVsj{IgX?d0n~!M zdS(2F)#8X6)CvKbpXyH#C|c!XjR7T1}W3sJ0$0iw+j7|GBJlLcYku^~wWK~_SF zCRnMh)*^us;<{mzW{5yS2u*OoB9YL`!O1|BK*&ZU%&(evp?@kH z;Uxt1JYOakYXc$Mf^7%{?^?ULok9(=a#5XPEUw{XQe4fB@8N#YLrig_04Nu%vI8Lv zb;B-+@(>v2C=q;2;t5|T$wjR5H9|SX8$OTC;Sx%OSX>aK7T0T0A=mipKI0~TE-#<@ zYo_eaiB5)H;#5Ymso67PKx1=t;axmLmkAq9{tl6(@Hg>*kS-NpJ4?A+0BAFUuNjjt zX`aCt;W8{D4q<88s_@R5@CRGSoWOh4(;ucw}QjJ_}r9rgCHL^@f)~BJ!urFGV zq^rmPjezP4wC#b54ix#8^&WX(yl=4<6=H(r7CO>AC4&AKVSnqgA~BTy8IOqKJ_W$N z13snF4n;e2(R7o>QYa{wf(b=tEhrb!^mX!w5U|8pwl+(QEH0Mkr z1A0BA6n+Mg=Aq<_kP8PiKnK8Gdr}A{$%jB%qJA=)uv;U7NX0T1e}3LGqH)x4)bLbC z^&nTF@E_!y8z#15?m?lBT5&|FR)w4}5+GvG-T^qY@j${?MrYdPH)H@Kl23+)GuGK1 z3}R>s+ETJP8pF&S1d&9Dxh?%NqyJSurGJfY7I#o%x1LjpjaO{{e*ZdaopV~S3WmQK zE;MX{zJA6Q=xhhd*0Eqn^Y=3T=GN$3IKmM^QI)R-M(VwTDw@H>1V-)7W+RbU8hh9Z zn3b2X+Q84iA{ZxI32B;OEq~?+RU}fbH92!YJ^k3Fu%&M3rT9q;0>d;V!nu$XqR)U* z2!=UgNQ?<0k+wA;HX!=GFo%W-wt~|^TwK*Hh*s{D2{M0(soppu$GzhI6E$(B>_*#bji(0qXypMFlyMG{CrnqOdO07((}z%=$Su1)zh zw=n*)7zv_z^LNcI#xmo;Usu;R;>>ux;c#2=mT zB+-237PtAfznc=zQXi85dgnDyH7aeU6zU7HJc`rR&GRo3yotmow?-ShiCSP&0A8Sn zpitSDE$6MSy-)Pcs}UG1KmCQ1Lj2oCH@;DHBiFp-x5W~c*3Y@%NrV7xAC?%~CTiEv zA)3XQt5JQj{`QGy_=2}X7j?!ySrsc6b*BPbT!wOHkZ-FmHEC24ldQR-7}Mx8&noO@ zZhrS#A&-C`zLSrNgg|Z~&zh)1f0V7J^ZQUI<%pyu1|$T92Tx$7p^xZL!t2u0qXyxv(%%a7XGWb71Sqf zzdtt6Y=l}^vnkMQX}-G(^)r_i(OI!@T9gSn9jSyzJD`QR2qphEqZn_JZe8DXvb6*h zmYBC=T^bUonu`ok&HJ7L70h3wK7kX5NPWB_#EWEEQ;AOz@_8orME4!lA3Qk%_f5oo z`P=ZxKuN@RzY+w*7e<8lfD)7~+vHL!vsJBgdGa{-=T;68cHtsG^I<5VMO{{n+z)g^(#Ly z-aYOX1WxYop+8itEbIFwNJo_ZblF8I^~|4a0YyvodO+52M^eWk*pb#jahY+2n{P70 z4$%o8pjh&MtQexUmYo*4OrAXlPWJcOL@AAV?%FF^4dXuPFPSZ!YmQ-M*w?p!seb42 zc?@&8=rmRpHd-ao=P6pdt@L646b78fjA*l-r@Drn~r(9qswFGc!Fr>3o6P&acnLhhY%Yk4w?_jxkdEyC6N@n|Akfa$J8cJUoEXmBE8f9l0j z^+i&*TmW@XBTp;-YB!mGM2LC)cDkP6r_SMn;Og=+KQL!RtL^;^UT{NLI$?lj`)J;` z-%qCcao7*Subl4eGuKexHwiEcn(B0c&&*j_7lV;BJw|)Bh@6@cofCy+Q@hkDm9c&O zup*tr;d76Pc<{TW7;2UT#+viMN7l5K_52+ zZ8WcSw?3?z*00{XwuT?fe92M!Lk8^c9UXYbjGc0x9us#jR|YMT7yUkOw~rJ+&*!b@ z#D_lZ{u{ox_C9#{>1sT5_4Br+g(Eunq1!yq3L677!v_ViBexEY^a-@GKC|BrZ)%u;T{Y${VX_xbuF)hX)(U!ZPYE$E>jZC|B1vx(Vz|?1}U9bz@N3cSeu& zj}7(vjhUUigL|dg$V{ne8$6?r2qx|K&8sOBxz(&A?F%Myxp$bqx4q2KFuO)S*RGlR z{>N=E8Fpe|i_l2sk5AAMu!B0pk0Dqf8Q$^z0d0m`zNVuWceKmjCyC)gkl0rl2 zb9C?hXgbG$BV#9r^0X(YVW0{F%nRrZzcDAe1$rG_RN8ugJ6g+d%Ph2k3&e;Gn(e-r z^?k{_XVh`RFZEEh39k(k5~bC9XV@^pKvq<-<0%p0BOEJGbi~+v`@qHsogK@Y!0Wo)jAGgi|p1VjrGA+|SosKz>RcE;FEoc3Q z%P2H0P4uJ!9$$ejfsjIPfsxKQVz)W{se+IHq1wyPXFPgU__;@*7_0${1rFa1Rx+LM(mG(Pl| zC=|ddSTdwiTa9@Gicg2a!L5+70{>r9BO! zIt;h<;B$J_Gwx}_4DkKQ((I#}4&L?329&rKV>$%+KpM*l=Rn@&!2c7qu5^8X`_(WD zlA|$yxR#dVk3*l%fHpfA*<~Q2Mzeq_Gjc3H9q?C;t%np!aVsytQ1$&M?d?w*$>2wcvK0Z^iV@1uh3-C>y8{9lPC`Z`V!zR{@x;dkB zCHu}DBz^hh4xSlM4l^JsPB97|I3H=3;ETy9r8opw?tW}IE`446vK64;rVTE*!-HtpvVL!{W=mt7W#AkZw z6%?!PfqNNg8gBR1XH|G;dh?kzgrw?c>XWn4kn{=@WkJG zLqwaD6mLQH9X(n2g(cW=e{{c8i$~s$Hx^cc!GQC*k^juY*V+x()%n&79*B8eZmY&} z#5iLnFEB6c%R)%3;Vuuk$=ECcnypbSr^`d%InevnZ3HJch+!hjJ@Ey2gbQ09@Jm0H z%m$Jb;O)1wIF!QDpPLdp?V!LfDQmCb(noP!2dR{pEH@ywpbI&PP~)MCpr&ip^# zr`ys}Wz*723Y2>?WeV%X%Gdqnu}o7o&-%}^C&SZuNuaPw#@QBZKN13m&9W(BlucC3 zl|f1oN%=3_^GAWm{Kl;E4MIxVEI+LMN@>`B*yqI7uH-t!G1u{xHn^_gY0J**j~O(Z z%Bq1G!3Y#HSD!7pVlBF);@qC;tvp!wn~V-hWl`(dGfso_gHn4DsW|s8WB0}@;x}e) zB>s%h;KVf$^!pfMXu z&Fa{2wa*V(`7cu)=Jbj1E8|<1$z-2#lv=y7x%nN{!Ih1L2{g`VY#wR~pn_Q^i9FZT z)rSf6V@#GJnQ_cAZb+AsZG11aIT+P1oWrfla~*#ca(U&*eoxL|9F^^C&|ahW+;Xq$ zDi7kYhP?sSU2O8K!O(q?ea$_8iL7a*24(fCUyuf2$pE3e1_ZLDn->j~qwOpOO+2Mm zy6%w=PQHP0rpH$Q@)g@Styyp;)`UFn8MkMM>P_f1`!+irb|zwevlp*EMZOt z!HmFBC-F+0eDU68$ETGmOo;f|kBWf1TO=$#0d)kF&IvpaaIKd#TINWZCrwGGAtGBa z8S?&#YhEqfI~uCR1LHS5KyeaQ8edXenplxKEc_w|ISBQ0Xu+Nmxl}Mg*@VP4r?Yy7 zanvQ(csBnBq}_ADZ@i3f|0?3?B)iop-1ejD3EpqTgE@Sx!DK`uyIErd+?hC;-?%Xa zO&z}jZM>)Rn$T$d2VKQjMX z|BLW%GEZ?(!g%<9_55qeC)U5oNI=BtK;TgQkOKXJ0`fl}0^lL{U)2ErRSbagZ$HS6 zixwvON9n(=1}FMAnLeaA0c4W6N8#`P2>$Dzk{JIch=LkNEJFH^(7#UM{#_`6JdT$H zK>eR4{_B7Z|8H80xZQHWUH^0#tBQH8S2C=-?(W|^KA-t+M zPn8@;vjByp;@cEXp~Dh^(jEGYtbX?b*ir%%SZ+VzsY}Mapv&IQRBL2)reC7JBzOj8 zeK}SM!m76j6ox4UvtgQS$_)AIAe}+L1&P|5(MhKW9Jh~2rj6_oBkLh@34Uk^CYDE| z0(Qvk)Z?oLap;eQzx98#xMzK1jF-C4^-Y~*{al15huZn%lX)zj&bFMn9({L|qs5-k z92o{f>-dYN;iuQPAwoTlY~1z6AHBi-o|lLS-Xl)+D0lH$TQfOeWQA0(k+jTUfKyW% zUA}MK9)^cfaOPcjCt{u6ADOo347~(a6%!iwh8UiPGE*(qX*T& ziLN{X9;lPHm761i#ILJK->D=wAx^*}*q35C|s0jX_@d(e)8s$0Bh{mN7+SP;A)NemZ_kWB9M1 zK8e1a3Vj7gUtw%3XP(HZidClU2vv{e)YLzt^^`(7H}=t?naD zm!_}<=Q+(RV=V@0b#JHh8!Z6-HxQ&M>g{jBFN)^pk?8%Dt{xz>IulLGRn~1-eO``rJnh_8^1|2Npcs&GtzY;-C!`V(EktrR9HnO4)A;zim9h?16hbnG{o^Io?Y zzPFQldIS#YILO)8F#JZD0ce!BjQ2hyO^`3Z>(p|r=8j(TA_1ln5w(i%-!}7G*d!=ggH`K>g^we zLZ5-Of&q@G+>5-oNtP4e$O?AU_v%8gx2Ms3Yx(+%2{gH+FX0BcS}pWy6{#6?U`>mJ zd4ej_$N$f+e^EpMK&yKpWl+S%|cd`_cTsCyq zp9$Yn1s|(MKAPI`8X<@dz?7-gDu}=!%`w1op-?GRrqMi_ps`z7uTqGgoY*GQ`IM8! zOz(eFawpdtMLkB+-Y)ID7qvL)jUagreT?*C$$``A#<+_S-Tn+3-~gG6a6C)%-M4D3_zSrO zSKWq%@y*FawG(W%tz|RCQDpr>M1BgxSMGk3ax&41^>QWPmpjp8`&STtwXbdNy$V4U z%zus_*nj47a2Wy>pt-SUDu@j)nH$$?7b$48bzmBcCLQAUaQISps$?4(23;@Ur!3~7majV8KY_v*cw z{27T&^tT3YOS^dUsWW9e=Z$G5WkLLdGlkI(U6;UoJ62{09xhJu?130| z4O7OJ*o$;0z(dF`+Ykk2XeyVZK2)Ssx&DKA=V6Z8HO7=c9r=cipY#12+4^csjV6e$LwjsUf^J|bLfe_0CPQU;fcK* z3@8)AxueLJt0x#JZ5@9M>39bM3ub(M0FBaOPq~u=Q29k6w>a~7X)qWYRemVmEArUh zFX}jCi=?JKxW{j$pIlg<8JTL{7d~l0uKcWBt9vwU8_%T`!4_d%Mck0RWwC0m2rlk7 z#P84hxsYLl7U3|k%Po@P49!xy^UTo-<$`CpC}$&gk9ytV+@Z~UE5%vhXl7;Shj`L> znjjwlW5$+)C`z~Wxqk0)&3V|c_+k|ga%5dxF&a!)+mqlWE}2Iij{Tl)qvc336Z*4oJ#@sUiUY2)`NTZ9{AW}@e(KeFZ)Hma zh_tkpWW+3t%52Kix0rS@EcNv9M!I}D)rPNd%uR`681YV1Z^X|1JlNdpPT;mX1VweZ z9GMHU)pk^p z{4=|oYsOy?s{TS?7Uv!O^!GN)Q&u%DAdy4;tv4YVh33)E6L!nCu08q963hV@89!kc zXq$=l-85L`JZVCwSPTrW9AgC>zz;5Wpf#GO=h;*FthQ5EI?#UB9u-=}vYa_Kgj0(Q z$JNVprbuq%EaS$0VK=g`KeEq&npx#NJ~C0z8<@mob95%s1<1woMuFUIj=H=lz)+HI ztbN*vbr9Ikp|buU>p)@e-`sfRyEN2rwaLEQ-)bCheN;3U{(GVN$K>tIs=;Wkx$VZV zDZd-Cr=RqalX9W<<}{%kT;nMcIWeQz;dC5!&(GlDXQfNAsqYb7jDBwR5aKX&C{1`( zjNa%Li;-w-s&v*=xw-f?vVjvPpaV-Is&?tCf-_rDnwtlK0uAo859Eg*?OLJ{_PW%{ z7eSn4-qxHja!%(0r4prQ_uguS4HFwXzdW^`O|}#dB<)`hRDaAYnJRLK&dg{bzhg{4 z=+9J*LYACgG}bM??}U`3nVS|ym%{w4CV#qLXr=Gz?%dtQskuFl^8A(J3}i9d7)T&{ z^6J5mBgJ804F-gAGb8JM_hq|0}a^`-sX6}_5v7=fS-HqaKIXC3ImS+$x{KmUZ zdtpy`9e(b#4DCQ_OLyNGSkBChJKL+dIIybZB=>}yvz5PV9q|~@IQyI_ep;4|5Q(vw@R?U%7D%##kX+sjjMbudLJ0605 zI-}F~Btopfz9L+jR9QT6?_dd%R>PGTc2+hlSlndjETwO3_dp-!1qQU~#Pi*6rzw2v zaxKpvqoAXBy34_|t5lmIUR@k*T|2*#w0#YzwI{J*)44p|5+iEj`@soeO`zM@XF}ZIPL`Ov~ zWnYC2h-_Mi6ds}KK)@Afcu*oMJ2m{9dPZeFwli%F;+$|gPmqPOr%Wh6G$3G+%*@`)Z}vdCoG zAe%Zj)kiUG?5FeN2Rr!ZofNothbT35R*WCA24Dm{(NgKgx&U&ynN~#mle9Z4o2_PZ zVuWQ;J*KNLE=-2XJody)g@A^cAtExCF+Khk_ET9RX1{RK2ha-$w5&NY0J0ZrW%i(z zeE!b5Nni0jp%FyC5kqE;p#8PAxLOuoZ{5h9mFd@|8xvG4)N^QTqVOnBlojd^oMMMd zhl`3HU71bfM1b)IXtkq|%Q(F%dxy}`=?K^H@f}N^8|ta3nxpVr#O8#!4QLgQ8|-xI zzTYdng-e>Ukx+PYDPs4aFIi?iNDP`zE%USX30TYso4pz`*fw!5kI%L_48{d5UAB#3 z&?Nx{3#04uzbG$8jJGe95=g>FNz8^w#jXx>s7jdSwgG(-TzP+PFfjbIG# zZ|iV9nmH_0JieFX(lxF zYWG`efD@LDp2)p>Vd;eb6~mfcOUr%Q{L+J=3!#-gRc8w%1j`0fumY=pL4kMWy!stQ zV;%yOsk-BMeF1!r>df>@^S8|thu9wR;tPTAIgj4HnHXNUhw#r;?v37q9bW7BYxB2n z9`%duvx&sU4!cTTlD=e$MDej!2oQx~qU%u~ISm!t4!pte@LZ9ZvQp5)$)dj z5BNcXZN=aN#XIQHAuqT62A!6)4>r$-vZN_M^FdE!i^wr>Y9%Xy?E)rJs^o4id*|k) zxb9H?Bg-&DI@OC_ze`^qh8NII6yLyk1H-#}3VHt6s{eI2?D@{diA%OO_aU`&qXb}>nk(Kn>fmn-oci+PWrQzPEq29W0^CPku({B<~z!0xRhp3GTDmzBxcQ= zf?szf|6qip+y~YVu==hBO)X(`u{He)AUVz;_dB`75w7gahH*%i1u#NrrJ zQv2|D63w4{g-LRkm~oAwHQ!ngrEJ&DT4GG?^XvxjhN5c38q@85`Jgb8WK_uYCtYXI zm(T_kFj#^RQE;ebu&4alfd#95DT_(!bbbF>;T{($#qDQ%ANBKxZD0R|p)T>uBW*MU22?8miORPIrmTUxv2kW4|yF?)ESB zUfk0Q+j&ZV59@fz?XfmF4QlWE#}7*>w%MDcRlgvbj^;&GZb6twOz0?*E%l8*IIK|h#haUMjNc%di&gp`;Zoz z?LjUYFE^blnp&+b(<+Bc`re37|H$^ycruSXfhoSX?wK{~?Z*$#Zcm4!w=gp4djr6d z*OsjxXL<|bovUs5mqNVf&e1lqmHaQFE6HO|M6!h$kBbmapQa?ar-SCouEK$3t%BCr z@JFvUZ;!Zpq{Z|{yEVwrCSS@G@o($9!)D-5KMChvU)>54;G2lGR;EukSsW_f!4q8m z`LWg0!s6+SG)~y?`R4=>Ji!Jzbps6G={CwcSFD=dFCcW8G&oXsN(trug~K$vte)6AW~&r6}%etPK8F0vmmtqS&&(f*I+oKJ7XC9^m~USMxRuz-|t6*)JvaKt3U21i6q95RIfkp zXHHj8o&+&m4EtLE{l;!48fv-t9bo6Fk`irM9)2>XOhEXUeX zL1h(}0U{b&pz}r7keI33kaA;|A`CyTD5l7K(igKY=BA?Ga|(+=icr`JGBc2Y%09Nb zH#y)_Jky`j8+ao7GMurBlA#-F-M7JvbCnC&nS4PUj_#gNL~0ZZjpL?~yti-drma%P z3f_QYkScQr<5I%Xq=EV`MotAJJEMhW>9ywre@1stIwQ$M)6V6(nec_lM0XS5Fc904 z^hpnIQL0_U-w1|fd5`;Iy41Odiha^lk*FJWxxfzWuTW*}378`)l2TV0PU%5vd9Mxx zz&M4AqdiO{BNqfE5Wm5t6WNkeZknXmz0 zm)lU8=PXUADD$@itsi+9_zGnA>AdKTI>cfwQkt8C3n5 z=lOf@*mvKtt3BtP@tLa@r(OF-!SYv?ccMBRhmW*wEadcJIkx+n%SJ@Y-@^z_H`bG2DKPT%Tz`zBUvJqw-M^`j~J zL?4oIYv<@2{s=7cEeb6P6e^1B7n>HGMw!^?n!pp83-JiK53xZ6*4?tk%uq*bxk-Z)IX={W~#cSTY7G^vw4C^RiFEix@MEoMZ1NO~AV zeZPt`&YnY@!=FPS0wW?ULN4-6q#@)KeTCdZ;5tMifSIyPE=e*;RNcSoqX9$bV!%Rx zGXnVl5;&NQ2iPL+ZaHeIY-Dr!N`#wprt@Y-7~A^{KdVczOK2KFv93i(6-kkRv-2;$ zqSvq!|A&SomW3`8{uN#FYNP=jiF2ViJj}M3lNv1#FH zaVLMX?`9!p0cH{VxD!MaRGN)Fd8c?{Cfp3Yq zb#_tkYnZw>l9fOTok(KR{L9~MpAq_h1%1nDO62mj zep3nqN88Q~oNO_k>E@b-McY~08Y-u_R9trLi_ScrEBnKgj@8Gj=Gu9#(tc~gS8HnI z_#H|A>FMulxal9d zX_pOtlcD2^b@#cYV{95&VyRD~PoJ^7DFhM`Y_t6cXzn;gJu=E?30_f=HoiT!3xES!e z-wWeTwInW>0I8+ZPVAw}3Wo#9V@Id2HeGo=AYT;Nw>m3F-UK*r#M);DHl^KVVmSn( zqizrAj6Nzfz~`(uutUP*Q${l~+p=&|Pu>PwBih??*SC|PHhxV!b!u*rpPkX8svH7l z8-VBEYqqDmnYjz4wQ@QqB_Z8Nbv{Jhe8b@vME6|1vzYy~4_0f6sQctX*H&@v zzW^Ny%Fp`0?E_>}(*t^rOI!&(Yq!dc+R9q22b!91ivW#2Wi^oN<~*u#exd80to2lN ziLoNxfFxC>k6>6pz9XtEU$<9M#(VB&JZPU*X#2MZe=-5SVbu1ILo;mY9iNf?XEy{{ zIwWliub6C6fd{V}y|ym@84s3@^x;YO%iVL&8t%te3y~0z1`>gaQ?QZuI`r^YThz0; zqn@MO+?f&YOJ)t&15k2{`03&+@nc8 z;`-Fa1KcWYOH2}X=YeIEJp9pe?rG-9shXSj^C)Zgkbld8RXRP>l-Ary%YfC7#y(sy z(*T#o3#6X}&;#VY%_;DEIXQT#@ZN3zaXDl$%5|ucIb9{p2&#ntY7I7b80aV%XqcJp zKvTOtXkeY2>RT{Ug&QUSbmVNA3a+~AD-xqcx18@!thqhUdb?1bZeU*I5{hlr*$F1X2X3!F zk>K5$4e_OHT#BbWC$k&dYu#xNgZ(>t!xyI8xD&5EFUD}4_R~GB9BMOsoU>WquK3R_ z{M^J#%LZH zHDBAF&M?zz)2xCGKgrsJ`DCtEoUPae2o}ynaktql$>)6A^^S8UC%E{jllX;@&RAMd zs5yHbbeA%m#(y^9k~&oN;W0NIHI1<4gWk>yFtY2zp-~1oZ+6!%p9;<~CGa^dY-kwJ zE*Np-mw6uCyEfn+djaa7GcLff3avlY#!rpOuN{?BK>3O^T|espA1ST8I#B*Qn5CCH znp}`Eg_4W^EK-DJOaHvu7Cx-b)s?bKSUgc|4N2Yc*w{P+i_1*7)7CvS>jIO~jmjY4 zGVbnMjI6a|yr9(W;wQHU8+Wgn73OOWJAs6boiIbHvh$PDx}%$p;YhFu(JysM_ZnJq zC=9(MZXB(d>6fAgmXHRvrr;mwIcasZ!1H4I04AM{t{Ub5`5(Wm=5lPF)jHgfM%KQr z$XwTIbxiH0HECpLIL+usZ5F zyL#9X*j@`-RBbgD_56Afg_Ew+6N9s&)3YEpv7mJAg40ox(^2X+7FJbHcSj0PY*cJ( zOXzogL3|f+B-*y^Kz?8&t$$n@@D}a`9P@L(k3iB^3%cveqQw0Y=KB{*4A_tQ!-~i< zKldWL%ju%R64r`#^rkO)>L1bSADQYOQ#Iw}o6LX8+BO{!aiTOGM5Oxc6x=Rf`=*XI z9guVC*iBJ#>ex?F*@QKjcgxNJ%WS(JGxn4-_LVaZlrs*MGmexqj+HY`-i+ORH}(8k z%eTHjd~Sv227%{Yg83h>T}(Xpo(iv)2~6Ad&K=i$xZTAywQM64tl-PO4_>=yDNbV} zuwY{r&6^5A@BH~n=Iih%D3L{&iS#ah3yI7Z&l{kjP>8B@+s>Ny5$aj_wQaIlxPRt9 zTH1l~Z1Qq3^y6q%>BgRhD4-YUXHD0?GF_mZVdj7;w!Gf)eYPTzHs`Zy5vL7ByjG z3hV-5!KfReu$@Z`8z;C{f=m@HembqYeJgttC+*FQUBQCt)kSCQ$R^RfsB_o#VGVp4 zAZkHjGG9!mUQF5&5Tf=Ql7P2OMv`w^wL_@;^FyE$Cvc((9YPVeYrZ-x(Ea+Avxudy zN!X}(=FZ|-xtYOK(uS~cW}teasxsr#b$)|o{yqCAvbwKo{n!;ky$v-5^G{Ro5F;_b zv~hBjgMT~Y2X+7H@JqDKi>h{zEvz*~L-h6yUH=HAfIyUU4QY)?Z~sw10)3T8@>6W1 zRt{UE9*C{GG7N_O(SK4PkRLCFV^(j5#7MnIFr)_^)I%W{(WN$E4|yRMWHdaETNaK( zQl%VZR6JG|5kxY$(cm572W>nax7d6uIQ!-=%ZxvG`QdLe_7D8Mbw7)|5i7z zBBevwpuZR93g>DZ#}Ss`$_=nXCoZYmxFt(#_{7^&Jo=FJm_tk04o5X1oZH+dT0munxq)cdILzid-k!Yo1+#e{*&rLrGQKf0_s;r+ttu?OtKGhN9fsxYeTR=V?y6zOeah? zHOxXxXPL)-7Z6K^nA#o%w$Wz%s1zp{WQSx7VLbrI<=@Rd>M@nE+;kSCw<)}L-9LFJ zi)L<;TWT9?k{uee%lF8KQy9sHBnxkDf-~>+4c(|n1SnR7XOSAl6>~-Vl zQ$kn(#14Z&v@t9Jq%9_m;WOTZeo~fu(5nzZ?jVb~z38?zrt<1(qUU0Rd;vq1E2R3a zUK;xWo2dQMwFd7rKS*$;?!q*nG4T&YY$*zYg1= zJ|TzSkU>N}ys}#YbJiIy>jEz8T`5PdEcGBz-^0Sn!Y-CAjq^Zp`izeD=Kbqv`SH~( zq8y`9qr54>)E=VZ=E*$;SJS{pmy;1;fIQB+D9rgydNEpJg$5;%-TgWYP zWbs?AW4zsk=Bu^{U_&DIK0Xsh^yrjs*Yxc}BW zFQrvjd50*apiP)OLXZtDFldmSz>KVuD<0Hpj14N#gzfYcqv=c)qd5f4NKFfu1cnq> z+@ebgsd%DIi2T^mOb+3D9KY?Ko(};SRgb~f&|c5=WKpF<1GsV1s=0@N3sd_c}Q@e8Me8b7yOiR6&2$(<+>8#jL*ASJh>S78AlEsgjSG0)(9StfQ?oFp z3zO2>qH9*EKHyg_9{9?p+MWZLFMegSaw8Lk$rmhJ&_cQxo=^xgBQZiVRfNm&MyJg2 zj^S$+#R;j#75iwQW6Ky9P<6ALbD(NizAWnN9NDq2)KhSaJZr?9LhC=lX3dQ6(teI> zL&{bMWdLUAX7v=NIV7F+RF7a$z^le>+trETlM(w7S-sk2jLp}1mQDt|GdPhIo2u;A zOo@naj_mte-wX2W_NNru=m`jZ?_$kaAORvwwqThn1*Mr8ixft4gpkN9RQser49Mw2 zU`UmkFM=f*sH}&}u>RvaL+iFavr^t(Q18{zp^(Zz-Rl@fZmqca%sa_XO14thWftfe zf|@%?I@zh0+ZCt;1dtRkii`n)K@kBYg=#Beo=@5$h6SP=CMlxBPHn#=Fbx+8A|QkM zt*@8WMta};?E47DnR@xj#nt&GV~F|HIN~?-5#j7?7Wy()-tXM;AZ;B4j6?$*gs*r? z)51m?-Th$#5%l5j7d(z)C<4kFP_1X|g-g?fX}j3lvxk6J_HvNH)@G$v!b^45W<82R zbtr*BF}MXEGR%l*F^J9ius~w30_|-^(>a!eh(XQ({b`ckFz-nAb%0E+vel^;WUGp! zzB|Al8{Y}_hVx}}4}uJ`*2#JXsr~WY|9~h9AB!9Hf!LBr3d2SS34^>GdgJ5k!2MW< z{%I0~4v>$4#F|$>QM@BNArd|sd%MY(r5Z)H2D6CYH~v?OnSa9W)l>G3t9=fFnD>p> zLa}Ng?Gv3|*i9L%g zx4+OXnWqZP$%?)`noIEF)!NxwDDdVTS_Lpy5m5qy)L=W0I{k-)X*yR7$P8yyNSBEc zK!2~Kj%cL-D#KezR~k_j^P>~~IP{m*(^^%ROyd?NWV>iHBg;qrMCu!wX2F1c@S17q zBmYv}n(2anr)#h8IQo6y?YD-n@3XB%%bD}g3Q)@J#?RlLB%@!jXF+FQyz&eL-FT5q zh|_e+ubf~V)DSY&=CZh9OcMl9WQYGG2!I^UXBz|KI&a+~W@qR9|E@nyFw3@(ZPZ0t z*Kv*$RLzT%tbJ5O6rH!7f?c%}!ZbOQ*Tkn;$Rg7Fe9f;j8j+D+=y|RCLtaZf=#kd+ z({g}MdZ<}js9C8TwdM_a7XYO<+dr!w^0($|m`ApedP<~;*Bu;)InqQ;c_0ZLJ_1TL zlNATIL#c?_&H#W^vP3B`NO5%}+s)OHFN3~A9SN$9*8ev_w^oDqn5T`_{?C(s>Y`v_|`l`## z@`DUks^;8?CVcCP4h1x)s|vBjJ3D`UgXHeJ!x%m;?W~EX&*f4-iZ_){;OM2&E5Cu? z;!}#`sqE7Niv1X1oLuP%I9N9&+~D2D-LN-CT|eg7hAdM}CkdTIsqRu3Nbxz0}r~i(qw#Cpv5-VP!6)MPuR$;NiAu1wM%H_!Q77ydl1iG?S+) zS)2;RU!4lgtw=I{ob24cTXN@w7GL18K2W%RE905>VJ`2(S;42JwX_bN5R|ibY2ur> zglMBcL`KIarz9ej$GIekUpW?bONa0LdCPg9i@}7rd=;_v6ZD?p=k&@i43~PrUmE2< zE{rW!0$wRh@*Trb3|mGg0EkUT4#>OuZFIwtTnXNpMGNzhO*lG0`C?ahmwh)ha5*$h znX%Kcmf@YNbz`|YG$PILqFsnI2i!(&JwJ&zyZCRsw2lG9&ypUA1xMu_(T`&bUl>tw z!J;*U@_>~OnzQPow&%^%k?YisMfwxKL3_Ubx|7(IkmCl~<;ly1_jJe*mnz zc)0Er7`2aL@%}EhdUo>UdA#r57_j&7eBej3yUYX!_W&IuFPAvnT$K znf4WQ#Jv2Ar=bs5TFsBF!I#@F|McDH~OiV z1H#0YZa$7BdAHELh~%VaCL{7mq4I75>=0t0(}Ea{0Mg$qf-p$ewKE(%oSC>9;$DnY1irmvkgS3 z(V-*vP~B&R=**9^D=Z(SktR%!^t?3R4!Of(ewNK8d20h_*NKL^atl%_9(WcmQp$tV zH0S=oa;7gb+}=STzGcJ`6N^*>YaF0 zM9IB#?LQZUt9doQU3R(Gk*;9~+^vgUiY1^tV2l=4ix|AU_I21O(E|!hlTk1AwNknS zJeKaVaRMCSSEI?0-^{MVTALFFf!B5Yb+Ntrp?`z8}@?Uyw57eGvthqhuhy_LQ?+S{I;l_v8IX%5J0;xARid+{Mys` z#XBSC{GM?9Xra>7bTB`M*Y_ykqM-Zk^M-ae%iLK8R9`~-ByQihm>Rw)9g;H?u+06P zy^iz4;^z|1NlWbCv|nn5*y9bG`mV0Rn+6uQzAD?s1kSiI>8@9Zbgob zOPFm^l0UgVT8F>m%TfxE%&Q63fPyAAHl3wpWe^Tgs?T?%0mK%fz)_0M zp_ts|p&x?AZ$19J>App~dPmI0$Gebck>fxo747Ayt6VvYhY<5kt2rJ_nh_GXAfyq`5a8Vq4$ONWx8 zIl%S)jcHi8^V1+?!P1RU;?9Fas!6{dPp~!MV^|^64H=?1 zlt>=Dq;3C|g`BmujO7aie_)Y4?zd`ej6ctTXSwJO>1|L^e$zH< z3w_XAEuMiS4g2K}b$~y!rl#n&+>i{S->1tHTXlBHWX0AvGP>$b_7d#GxX9BmMiERQ_5~HH=6Pjvv^%nGN~=Yx zm%T-CtQWY?L)+6wDL{UoE$Lg8BY_!og>ocSWr2D|l_te}-8V&WNl;HS5+B6B527p9 zi>m0nD@qWYQFyDOU9|lOp60t0l~yF` zy-C%{J;%4tCfoV)#8pNtAgC=U+%}9-ZbKAQ!}@Qe5Uvg#7#6_dz%GOx){p>Xa-8-f zRd$0Tu#8p*@X5JAWabe`+M)UYT@%TAwX%P1_G(4b~=&#clU^318C7qaJIDxqq+ zWH{M;7uq+E{{RH_RDKJmFoi#l-qm#A4@S5Qz^mr)@JyVR`Drb? z57*He-blg7M!d=4(F$CMlfQp!QiyI^$TElStClW+WvdwVYCU$BDbe{J%5ZG|2|r!F z!&?Z|-){U7kKDh(V0~cgAdn1(DgRQRK7O+gZlxXEe-JWtY(~7>S}+)T z|EI5_*uX5M;tKu5C7IaCz44$q=e+L1iyV`er+d;w{Yop9gluT*`&_{gDB!EI55=X$ zU|)*ed}C~;L6ugjY4GK|i(9p@J3VSB4J4uyiv3Q7o^EKd6m&A<8{ zWB(hC19(%Mob)e0|LVO<{%BL gm#?KvZtxdLg1=GS+LDCOID%jgNlLhNp}#c$7cwR%E&u=k