diff --git a/antenna_deployment.kicad_sch b/antenna_deployment.kicad_sch new file mode 100644 index 0000000..3758d73 --- /dev/null +++ b/antenna_deployment.kicad_sch @@ -0,0 +1,27 @@ +(kicad_sch (version 20211123) (generator eeschema) + + (uuid 75dddff6-93a4-4c56-972d-f6638a4e6d2d) + + (paper "A4") + + (lib_symbols + ) + + + (no_connect (at 130.81 113.03) (uuid 520d9053-905b-47d5-8cc9-59be13ba36b3)) + (no_connect (at 130.81 116.84) (uuid 520d9053-905b-47d5-8cc9-59be13ba36b3)) + (no_connect (at 130.81 120.65) (uuid 520d9053-905b-47d5-8cc9-59be13ba36b3)) + + (hierarchical_label "VBAT" (shape input) (at 130.81 120.65 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 71919ba3-9a70-4040-9fdb-3d102a7a8484) + ) + (hierarchical_label "ANT_SEN" (shape input) (at 130.81 116.84 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 76af3bd7-e462-4cad-8e64-5514fab9f248) + ) + (hierarchical_label "ANT_DEP" (shape input) (at 130.81 113.03 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a077d3db-d03d-42f4-addc-616a774364df) + ) +) diff --git a/CAN.kicad_sch b/can.kicad_sch similarity index 98% rename from CAN.kicad_sch rename to can.kicad_sch index ff7febd..e53c308 100644 --- a/CAN.kicad_sch +++ b/can.kicad_sch @@ -523,17 +523,17 @@ (uuid ff0e7a4f-7b5c-484f-9c69-d42e03de9fbb) ) - (global_label "3V3_MCU" (shape input) (at 158.75 93.98 90) (fields_autoplaced) + (global_label "3V3" (shape input) (at 144.78 36.83 90) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid 31cd2651-7d4d-4d96-8f0a-fce7e718f74a) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 158.6706 83.0398 90) + (uuid 0359479e-82ad-4d24-8e52-9e70bca9bafd) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 144.7006 30.9093 90) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "3V3_MCU" (shape input) (at 144.78 36.83 90) (fields_autoplaced) + (global_label "3V3" (shape input) (at 158.75 93.98 90) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid e31edf9f-b06a-46b9-8d79-04777d110685) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 144.7006 25.8898 90) + (uuid ddef4cbb-13df-4d04-a228-3f02a0580fb3) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 158.6706 88.0593 90) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) diff --git a/fpga.kicad_sch b/fpga.kicad_sch new file mode 100644 index 0000000..ba9f921 --- /dev/null +++ b/fpga.kicad_sch @@ -0,0 +1,744 @@ +(kicad_sch (version 20211123) (generator eeschema) + + (uuid e6861313-0042-44a8-a0bd-deae1d0fda2f) + + (paper "A4") + + (lib_symbols + (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) + (property "Reference" "TP" (id 0) (at 0 6.858 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TestPoint" (id 1) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 5.08 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "test point" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TestPoint_0_1" + (circle (center 0 3.302) (radius 0.762) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "TestPoint_1_1" + (pin passive line (at 0 0 90) (length 2.54) + (name "1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) + (property "Reference" "C" (id 0) (at 0.635 2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C" (id 1) (at 0.635 -2.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 0.9652 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "cap capacitor" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_0_1" + (polyline + (pts + (xy -2.032 -0.762) + (xy 2.032 -0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.032 0.762) + (xy 2.032 0.762) + ) + (stroke (width 0.508) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "C_1_1" + (pin passive line (at 0 3.81 270) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -3.81 90) (length 2.794) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Linear:MIC5504-2.5YM5" (in_bom yes) (on_board yes) + (property "Reference" "U" (id 0) (at -7.62 8.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MIC5504-2.5YM5" (id 1) (at -7.62 6.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 0 -10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" (id 3) (at -6.35 6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Micrel LDO voltage regulator" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "300mA Low-dropout Voltage Regulator, Vout 2.5V, Vin up to 5.5V, SOT-23" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23?5*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MIC5504-2.5YM5_0_1" + (rectangle (start -7.62 -5.08) (end 7.62 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "MIC5504-2.5YM5_1_1" + (pin power_in line (at -10.16 2.54 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 -2.54 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 2.54 180) (length 2.54) + (name "VOUT" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "lsf-kicad:TCR2EE11" (in_bom yes) (on_board yes) + (property "Reference" "U6" (id 0) (at 0 9.3218 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TCR2EE11" (id 1) (at 0 7.0104 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:SOT-553" (id 2) (at 0 -10.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://toshiba.semicon-storage.com/info/docget.jsp?did=13794&prodName=TCR2EF45" (id 3) (at -6.35 6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "TCR2EE11,LM(CT" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "Micrel LDO voltage regulator" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "300mA Low-dropout Voltage Regulator, Vout 3.3V, Vin up to 5.5V, SOT-23" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "SOT?23?5*" (id 7) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TCR2EE11_0_1" + (rectangle (start -7.62 -5.08) (end 7.62 5.08) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "TCR2EE11_1_1" + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 2.54 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at 10.16 2.54 180) (length 2.54) + (name "VOUT" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 7.62 -2.54 180) (length 2.54) hide + (name "NC" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + + (junction (at 201.93 30.48) (diameter 0) (color 0 0 0 0) + (uuid 4499aa0b-b87b-424c-8c84-6cba11893b29) + ) + (junction (at 161.29 30.48) (diameter 0) (color 0 0 0 0) + (uuid 910b2248-fdad-4462-ad7e-bb8bcb6a0e43) + ) + (junction (at 170.18 30.48) (diameter 0) (color 0 0 0 0) + (uuid 943e3f01-be82-4325-afd8-80ee519d19c2) + ) + (junction (at 96.52 30.48) (diameter 0) (color 0 0 0 0) + (uuid 96432a27-bc97-4a20-9f8b-c07cf85a787b) + ) + (junction (at 130.81 30.48) (diameter 0) (color 0 0 0 0) + (uuid 9c13430b-29c7-4f7b-a0d9-fa96931c6a79) + ) + (junction (at 148.59 30.48) (diameter 0) (color 0 0 0 0) + (uuid b27e63ac-8f54-42d9-a776-031a366edb80) + ) + (junction (at 134.62 30.48) (diameter 0) (color 0 0 0 0) + (uuid b6d04da3-5214-4dc2-8297-23f24e016842) + ) + (junction (at 116.84 41.91) (diameter 0) (color 0 0 0 0) + (uuid c64d7246-04ce-436f-b7c6-f2a211fe0335) + ) + (junction (at 198.12 30.48) (diameter 0) (color 0 0 0 0) + (uuid e7f6a998-0fda-4d00-b5ca-11a3ad8cbc82) + ) + (junction (at 184.15 41.91) (diameter 0) (color 0 0 0 0) + (uuid fe86d997-25e8-4e55-9af8-da4d1fdcd118) + ) + + (no_connect (at 154.94 107.95) (uuid 155ab198-0c20-4f37-abb1-eea7a8406b14)) + (no_connect (at 154.94 139.7) (uuid 1b429a4b-9387-485c-9bf0-e162875c42df)) + (no_connect (at 154.94 92.71) (uuid 208ddd74-335e-4f7d-a9ad-2b687deb1f9d)) + (no_connect (at 154.94 118.11) (uuid 373fcff8-3d8d-4dd9-963f-827aa56817e3)) + (no_connect (at 154.94 90.17) (uuid 44563fa3-d558-4837-bad8-44ca022591a0)) + (no_connect (at 154.94 144.78) (uuid 4a9aa57d-4e23-436e-baee-96ce1dc7ad95)) + (no_connect (at 172.72 139.7) (uuid 50a42a72-dd5d-4302-b046-cfc8ce840d85)) + (no_connect (at 154.94 125.73) (uuid 59c0dadf-58a6-469c-a335-a13ab210692b)) + (no_connect (at 154.94 128.27) (uuid 5cbf48ff-c004-4362-965a-a22943eac765)) + (no_connect (at 154.94 110.49) (uuid 5cef7952-c5d9-4b64-8654-67db4abb18c3)) + (no_connect (at 154.94 95.25) (uuid 7866689e-0140-4661-a914-5cf2b5282061)) + (no_connect (at 154.94 104.14) (uuid 859b4496-525d-4364-9320-ba90ad1f08e3)) + (no_connect (at 154.94 130.81) (uuid 8c43ad50-de3b-4288-a98f-1262218366d5)) + (no_connect (at 154.94 115.57) (uuid 93db17d7-7eb4-49ee-8f8b-5057df314c57)) + (no_connect (at 172.72 144.78) (uuid aaf67713-5d26-40fd-9c28-19eecc10000f)) + (no_connect (at 154.94 147.32) (uuid c09a2e50-9f91-47c7-95d6-2a7dc67ccb43)) + (no_connect (at 172.72 142.24) (uuid c890e1bc-09f1-44c8-ae4f-d62897df10a1)) + (no_connect (at 154.94 97.79) (uuid d2c83e02-7af2-482f-92a0-1112de029a1b)) + (no_connect (at 154.94 133.35) (uuid e216735d-bfe3-4f1e-8dff-4b5b6e2bb293)) + (no_connect (at 172.72 147.32) (uuid f23e23da-7765-4f64-9660-545e8acda6e1)) + (no_connect (at 154.94 142.24) (uuid f6f4e95a-24b8-42d4-9c28-ed187e01a218)) + + (wire (pts (xy 102.87 53.34) (xy 102.87 35.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 00cc9a09-0f02-432c-986f-c1ceb0963d15) + ) + (wire (pts (xy 130.81 41.91) (xy 116.84 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0921de0c-8fc3-4d1f-88cb-63d24873b3da) + ) + (wire (pts (xy 130.81 38.1) (xy 130.81 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 11125720-5949-4a0e-b685-8c6f383d8a6d) + ) + (wire (pts (xy 96.52 30.48) (xy 106.68 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 11c13a18-3f13-4869-b564-c6a02043f431) + ) + (wire (pts (xy 173.99 30.48) (xy 170.18 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 11f89246-6d3a-4e08-ad3c-f9bca4de7df2) + ) + (wire (pts (xy 198.12 30.48) (xy 201.93 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1d3b7f13-d9bf-4c5c-ab23-bcc68786ed0c) + ) + (wire (pts (xy 127 30.48) (xy 130.81 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 256d874c-1002-4dc2-bd0f-2669433749b6) + ) + (wire (pts (xy 148.59 26.67) (xy 148.59 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3292bee8-d841-4e21-81a3-bfcc73f9b6a4) + ) + (wire (pts (xy 77.47 30.48) (xy 96.52 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 34d3fa65-b85f-4619-893a-d26fa07b8bde) + ) + (wire (pts (xy 130.81 30.48) (xy 134.62 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 35cda76d-a0c0-4ebc-8da0-d82b7b8218a6) + ) + (wire (pts (xy 170.18 30.48) (xy 170.18 35.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3be6f857-48f9-4990-9617-3507fd8d2ffd) + ) + (wire (pts (xy 134.62 30.48) (xy 148.59 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4d35aaee-79bd-43ed-b2ba-d21b3994b972) + ) + (wire (pts (xy 217.17 30.48) (xy 201.93 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5b577843-e587-445d-abc5-ff57b3326b3c) + ) + (wire (pts (xy 161.29 38.1) (xy 161.29 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 67bf222f-f41a-4056-b80b-0df18f83bd44) + ) + (wire (pts (xy 96.52 43.18) (xy 96.52 38.1)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 68473ea2-acd6-46d5-b4ed-16ef8125eb2e) + ) + (wire (pts (xy 102.87 35.56) (xy 106.68 35.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6b1807f3-eb34-4989-9cf5-db4c42a4e14e) + ) + (wire (pts (xy 148.59 30.48) (xy 161.29 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 87e9043b-423d-4d3a-919c-d074a9c2b4dd) + ) + (wire (pts (xy 116.84 40.64) (xy 116.84 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8929c0d2-3be4-45d7-967a-a44fca70a7b7) + ) + (wire (pts (xy 170.18 35.56) (xy 173.99 35.56)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a2fbb46d-5e11-4dbd-8b88-43daeb467f13) + ) + (wire (pts (xy 201.93 30.48) (xy 201.93 27.94)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a3baa897-71ba-4034-8982-079989306605) + ) + (wire (pts (xy 81.28 53.34) (xy 102.87 53.34)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bc486ce5-b89f-4356-b193-3adf66315f49) + ) + (wire (pts (xy 198.12 41.91) (xy 184.15 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bfd3fb0b-e434-4865-bedb-0a06bcc1f5b4) + ) + (wire (pts (xy 194.31 30.48) (xy 198.12 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d4332da0-5a52-4fa9-a04c-363de2741976) + ) + (wire (pts (xy 184.15 41.91) (xy 184.15 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d4d851ef-8b38-48bf-9e5b-b1ee03fe13a6) + ) + (wire (pts (xy 184.15 40.64) (xy 184.15 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d8de657b-d082-49d1-bad0-da4d185bba8f) + ) + (wire (pts (xy 161.29 30.48) (xy 170.18 30.48)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid df5ffb09-3a93-4103-89e6-3841b118f39a) + ) + (wire (pts (xy 198.12 38.1) (xy 198.12 41.91)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e8dc9721-a378-4e8a-b418-913c1770551d) + ) + (wire (pts (xy 116.84 41.91) (xy 116.84 43.18)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ed18cc09-2f05-4914-bfb6-99ef106b74a9) + ) + + (text "FPGA VCC CORE" (at 165.1 62.23 0) + (effects (font (size 3.4036 3.4036)) (justify left bottom)) + (uuid 2a8551bd-3f4b-44a1-8124-b827fa2e4348) + ) + (text "FPGA VCCAUX" (at 97.79 62.23 0) + (effects (font (size 3.4036 3.4036)) (justify left bottom)) + (uuid 4adb20bf-ba42-4d46-9123-6260f6a08724) + ) + + (label "1V1" (at 217.17 30.48 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 209ffad0-e58e-434a-a521-15eb5b900a94) + ) + (label "2V5" (at 148.59 26.67 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e2096c45-512e-432a-a387-88c04cb2cb97) + ) + + (global_label "3V3" (shape input) (at 77.47 30.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c9bc1c8b-a0d8-4225-a01c-a00f9b5d5ff4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 71.5493 30.4006 0) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + + (hierarchical_label "SPI_MISO" (shape input) (at 154.94 125.73 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 07bb3c7a-2690-402d-b0f8-533cc6fe84ce) + ) + (hierarchical_label "SPI_MOSI" (shape input) (at 154.94 128.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0921c614-3aba-4814-a792-3e77da4d559a) + ) + (hierarchical_label "RXDATAP" (shape input) (at 172.72 144.78 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 112a2f65-ff43-425e-8d70-ac618d7c7ed5) + ) + (hierarchical_label "FPGA_IO0" (shape input) (at 154.94 115.57 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2b9eea51-7036-44d5-84ce-6c3adc278715) + ) + (hierarchical_label "FPGA_INIT" (shape input) (at 154.94 107.95 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2f236aee-57ee-47c4-814f-49ffc21e9f63) + ) + (hierarchical_label "FPGA_PROG" (shape input) (at 154.94 110.49 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 34a387fd-dbac-48bd-b174-37b9d2d1b368) + ) + (hierarchical_label "JTDO" (shape input) (at 154.94 90.17 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 46cc5564-14ef-4ee5-aa8d-4b05b98fda25) + ) + (hierarchical_label "RXCLOCKP" (shape input) (at 172.72 142.24 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 476483c7-ae07-4782-b465-a561719e3442) + ) + (hierarchical_label "SPI_CLK" (shape input) (at 154.94 130.81 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5aaaf7b3-3dab-4fdd-a264-90953e117367) + ) + (hierarchical_label "TXDATAN" (shape input) (at 154.94 147.32 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5dd00749-f60e-4d21-a16d-b232da737a18) + ) + (hierarchical_label "JTDI" (shape input) (at 154.94 97.79 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 76ef1cc8-22b9-4c03-bc65-01f5ba1027b8) + ) + (hierarchical_label "JTCK" (shape input) (at 154.94 95.25 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 79c60a3b-d402-4aa4-a47b-f8fac740bcf3) + ) + (hierarchical_label "TXDATAP" (shape input) (at 154.94 144.78 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 86af934b-4b93-462b-8c97-8989d6eb9525) + ) + (hierarchical_label "JTMS" (shape input) (at 154.94 92.71 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8c8aca9a-3d42-45bf-83bf-80cd13620ea5) + ) + (hierarchical_label "RXDATAN" (shape input) (at 172.72 147.32 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 94b25601-eaf6-4e79-a998-7f1160c1d660) + ) + (hierarchical_label "TXCLOCKN" (shape input) (at 154.94 139.7 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid abd7d190-aa51-4b05-8df8-890befb5093b) + ) + (hierarchical_label "FPGA_DONE" (shape input) (at 154.94 104.14 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b0bb26b8-3df3-4c53-b596-0e8bf9cd3466) + ) + (hierarchical_label "TXCLOCKP" (shape input) (at 154.94 142.24 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b8dfba22-f82a-47d2-97e5-98668677a930) + ) + (hierarchical_label "RXCLOCKN" (shape input) (at 172.72 139.7 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d8ec481b-c08f-49ba-8e54-1d9415f9f61a) + ) + (hierarchical_label "FPGA_IO1" (shape input) (at 154.94 118.11 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e1323b14-4a81-4d1b-be23-9e0510430791) + ) + (hierarchical_label "SPI_CS" (shape input) (at 154.94 133.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid eaaf9451-9bb7-4950-bea8-9a600067bf7e) + ) + (hierarchical_label "FPGA_EN" (shape input) (at 81.28 53.34 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f8dbeefa-5f7b-4d98-a609-4141eb929c9f) + ) + + (symbol (lib_id "power:GND") (at 161.29 44.45 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 134b6c82-f06d-4e48-8376-571f1ccc539b) + (property "Reference" "#PWR0603" (id 0) (at 161.29 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 161.417 48.8442 0)) + (property "Footprint" "" (id 2) (at 161.29 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 161.29 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 93ee878d-63c1-47e2-8c69-4ab872244388)) + ) + + (symbol (lib_id "Device:C") (at 130.81 34.29 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 269592f6-73b1-4b1c-871d-902c6ddb331e) + (property "Reference" "C602" (id 0) (at 133.731 33.1216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1uF" (id 1) (at 133.731 35.433 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 131.7752 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 130.81 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "LMK107B7225KA-T" (id 5) (at 130.81 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 88a30fa9-86c5-4bc8-a4db-ba198622b3a1)) + (pin "2" (uuid 02e342a6-183b-4373-a3ec-9c47978a697b)) + ) + + (symbol (lib_id "power:GND") (at 96.52 43.18 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 4004404b-e2b8-448d-93be-db7b815eb8ea) + (property "Reference" "#PWR0601" (id 0) (at 96.52 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 96.647 47.5742 0)) + (property "Footprint" "" (id 2) (at 96.52 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 96.52 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ebc15dda-bfdc-4349-bb81-2907d0bb2859)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 201.93 27.94 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4c61af3f-0c80-4a99-abda-a42b957edee4) + (property "Reference" "TP602" (id 0) (at 204.47 23.3679 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1V1" (id 1) (at 204.47 25.9079 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (id 2) (at 207.01 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 207.01 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 64d997d7-2610-417f-ae21-cbc9faeb9dbb)) + ) + + (symbol (lib_id "Device:C") (at 198.12 34.29 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 5a7d81ef-3106-46bc-bf93-4c3735bcf3a6) + (property "Reference" "C604" (id 0) (at 201.041 33.1216 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1uF" (id 1) (at 201.041 35.433 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 199.0852 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 198.12 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "LMK107B7225KA-T" (id 5) (at 198.12 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c85b6d98-88d9-4dfe-ab6b-fe029920cb60)) + (pin "2" (uuid c065fb5d-19da-4027-9c3e-5cb6eb77090a)) + ) + + (symbol (lib_id "power:GND") (at 116.84 43.18 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 71745e63-8a2d-43c0-b886-88b4e7684b4d) + (property "Reference" "#PWR0602" (id 0) (at 116.84 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 116.967 47.5742 0)) + (property "Footprint" "" (id 2) (at 116.84 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 116.84 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bf008a69-b7ae-4927-b4b0-03415b37946e)) + ) + + (symbol (lib_id "Device:C") (at 96.52 34.29 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 826b3684-0aaf-4d56-938c-d8522876d987) + (property "Reference" "C601" (id 0) (at 90.1192 34.29 90)) + (property "Value" "1uF" (id 1) (at 92.4306 34.29 90)) + (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 97.4852 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 96.52 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "LMK107B7225KA-T" (id 5) (at 96.52 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 63473a81-808c-4ab5-8c45-83067c353ddf)) + (pin "2" (uuid 578b998b-8df0-46bb-98c9-13373a743a05)) + ) + + (symbol (lib_id "lsf-kicad:TCR2EE11") (at 184.15 33.02 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 8c1b3a56-e648-45f3-ba1b-2f5b7c94c3e9) + (property "Reference" "U602" (id 0) (at 184.15 23.6982 0)) + (property "Value" "TCR2EE11" (id 1) (at 184.15 26.0096 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-553" (id 2) (at 184.15 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://toshiba.semicon-storage.com/info/docget.jsp?did=13794&prodName=TCR2EF45" (id 3) (at 177.8 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "TCR2EE11,LM(CT" (id 5) (at 184.15 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f011b6f3-f7fe-493b-9e97-1fac4bfc5846)) + (pin "2" (uuid 490f3a94-d1cb-403e-ac39-734fde9e1c0a)) + (pin "3" (uuid f76e6cc6-8ad0-4034-892b-0bf9420b0a46)) + (pin "4" (uuid 7d7c86ca-03f5-4d8d-a1ac-0f2186fbbca6)) + (pin "5" (uuid ca89142a-eb41-443d-976a-e0003c0ed480)) + ) + + (symbol (lib_id "power:GND") (at 184.15 43.18 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 90bfb9f6-990b-417c-b62f-6c55347152d5) + (property "Reference" "#PWR0604" (id 0) (at 184.15 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 184.277 47.5742 0)) + (property "Footprint" "" (id 2) (at 184.15 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 184.15 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 135b70be-7d5b-487d-ab59-296ee7d01c7c)) + ) + + (symbol (lib_id "Device:C") (at 161.29 34.29 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid e8967658-1509-4091-b1b7-f02e82175599) + (property "Reference" "C603" (id 0) (at 154.8892 34.29 90)) + (property "Value" "0.1uF" (id 1) (at 157.2006 34.29 90)) + (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 162.2552 38.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 161.29 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "LMF105B7104KVHF" (id 5) (at 161.29 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1c586a52-aab0-475f-9358-8a0c3d769282)) + (pin "2" (uuid aac01efb-0b74-40c7-8a9f-255178175850)) + ) + + (symbol (lib_id "Connector:TestPoint") (at 134.62 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid ed458ecf-7791-4b18-8171-9d663e01cf31) + (property "Reference" "TP601" (id 0) (at 137.16 25.9079 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2V5" (id 1) (at 137.16 28.4479 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (id 2) (at 139.7 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 139.7 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3636258a-5a60-470f-94a5-792c10764394)) + ) + + (symbol (lib_id "Regulator_Linear:MIC5504-2.5YM5") (at 116.84 33.02 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid f7c00956-c1b2-4e4d-be99-36597a53c09b) + (property "Reference" "U601" (id 0) (at 116.84 23.6982 0)) + (property "Value" "MIC5504-2.5YM5" (id 1) (at 116.84 26.0096 0)) + (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 116.84 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" (id 3) (at 110.49 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Part Number" "MIC5219-2.5YM5-TR" (id 5) (at 116.84 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1c9ff65f-576c-42ab-82b4-04fdf6595d9e)) + (pin "2" (uuid dbb3d060-67ad-4f0a-a0e0-8644a965291a)) + (pin "3" (uuid 03e4e4a5-8f47-49a4-b3d3-137602baa93f)) + (pin "4" (uuid da88c882-59cf-4d84-b2f6-cf151884f623)) + (pin "5" (uuid c0dee74b-945f-4ba4-a108-83a3a4989bff)) + ) +) diff --git a/MCU.kicad_sch b/mcu.kicad_sch similarity index 97% rename from MCU.kicad_sch rename to mcu.kicad_sch index 576d040..bfea80e 100644 --- a/MCU.kicad_sch +++ b/mcu.kicad_sch @@ -760,7 +760,6 @@ ) ) - (junction (at 71.12 95.25) (diameter 0) (color 0 0 0 0) (uuid 07fe354d-c9ea-48c3-b9ba-c551758f801b) ) @@ -1269,17 +1268,17 @@ (uuid f4658b71-50d8-46dc-8a66-5b7c68507124) ) - (global_label "3V3_MCU" (shape input) (at 85.09 26.67 90) (fields_autoplaced) + (global_label "3V3" (shape input) (at 148.59 29.21 90) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid acf9d5e3-00c4-46a2-8fb1-130f2ff8990c) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 85.0106 15.7298 90) + (uuid 3041ee2d-d7b9-4864-902e-7d1d550a690e) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 148.5106 23.2893 90) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) - (global_label "3V3_MCU" (shape input) (at 148.59 29.21 90) (fields_autoplaced) + (global_label "3V3" (shape input) (at 85.09 26.67 90) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) - (uuid bab293be-84e7-44d3-8bb3-d23ed8a48dea) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 148.5106 18.2698 90) + (uuid b52d3f8a-c7bc-40e7-beed-a956d44b14b9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 85.0106 20.7493 90) (effects (font (size 1.27 1.27)) (justify left) hide) ) ) @@ -1288,19 +1287,19 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid 145b7d46-7bd4-4ee4-8136-50beb81c7f77) ) - (hierarchical_label "USART2_TX" (shape input) (at 167.64 83.82 0) + (hierarchical_label "PSU_PG" (shape input) (at 167.64 83.82 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 14c24f6d-c2bf-4b01-9d4b-7f0755e08445) ) - (hierarchical_label "PB9" (shape input) (at 129.54 101.6 180) + (hierarchical_label "PSU_CHG_EN" (shape input) (at 129.54 101.6 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 1b2c37f1-2f41-4eef-9163-74d93552bfe4) ) - (hierarchical_label "PB8" (shape input) (at 129.54 99.06 180) + (hierarchical_label "FPGA_DONE" (shape input) (at 129.54 99.06 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 2b626917-a177-4b61-81a1-fd2a69eb9f9a) ) - (hierarchical_label "SPI1_MISO" (shape input) (at 167.64 93.98 0) + (hierarchical_label "SPI_MISO" (shape input) (at 167.64 93.98 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 2f274d35-c819-4fa4-bf08-0f05441a1514) ) @@ -1308,23 +1307,23 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid 38cad123-e6f8-46ac-bb65-7bf207c8a5a7) ) - (hierarchical_label "SPI2_NSS" (shape input) (at 129.54 109.22 180) + (hierarchical_label "TRX_EN" (shape input) (at 129.54 109.22 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 3eb6166e-d2a4-4778-a9e3-fd9ea19f972e) ) - (hierarchical_label "USART2_RX" (shape input) (at 167.64 86.36 0) + (hierarchical_label "ANT_DEP" (shape input) (at 167.64 86.36 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 4b4dab82-e313-4c7a-b63b-b5f6b48d648b) ) - (hierarchical_label "SPI1_NSS" (shape input) (at 129.54 78.74 180) + (hierarchical_label "RFFE_EN" (shape input) (at 129.54 78.74 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 54c2b029-df21-4268-9a74-8433670031c7) ) - (hierarchical_label "SPI1_SCK" (shape input) (at 167.64 91.44 0) + (hierarchical_label "SPI_SCK" (shape input) (at 167.64 91.44 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 5e3106c4-aefe-4ef5-8aa8-6f8a9c16fe7d) ) - (hierarchical_label "PB11" (shape input) (at 129.54 106.68 180) + (hierarchical_label "PSU_BAT_ALERT" (shape input) (at 129.54 106.68 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 5fb34c2f-8685-4006-a370-36a5c54e8539) ) @@ -1332,7 +1331,7 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid 638185a1-f9cc-47fc-9abd-4b70c0817d94) ) - (hierarchical_label "PA4" (shape input) (at 167.64 88.9 0) + (hierarchical_label "SPI_CS1" (shape input) (at 167.64 88.9 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 638749f1-b1e7-4781-9f0f-dba065a717aa) ) @@ -1360,15 +1359,15 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid 825e7db8-0294-426e-853c-3be31e57f559) ) - (hierarchical_label "PB13" (shape input) (at 129.54 111.76 180) + (hierarchical_label "RFFE_TX_EN" (shape input) (at 129.54 111.76 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8519174e-f406-4836-8f33-e219a5351591) ) - (hierarchical_label "SPI1_MOSI" (shape input) (at 167.64 96.52 0) + (hierarchical_label "SPI_MOSI" (shape input) (at 167.64 96.52 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid 88c5e61d-a3df-45b2-8bd8-f2c4869aaa32) ) - (hierarchical_label "PH3" (shape input) (at 129.54 63.5 180) + (hierarchical_label "PSU_EN" (shape input) (at 129.54 63.5 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 8a0ef2e3-8d1c-48a0-a594-c0d6dd3db057) ) @@ -1384,23 +1383,23 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid 9f534178-66ab-4cd5-86a6-3b6d404a0f6c) ) - (hierarchical_label "SPI2_MOSI" (shape input) (at 129.54 116.84 180) + (hierarchical_label "SPI_CS2" (shape input) (at 129.54 116.84 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid bdf9dfdb-3e3e-46cc-8bb8-4372561c164b) ) - (hierarchical_label "PA0" (shape input) (at 167.64 78.74 0) + (hierarchical_label "RFFE_PSENSE" (shape input) (at 167.64 78.74 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid c35e417c-496e-4303-b5c4-321c3cede22a) ) - (hierarchical_label "PB5" (shape input) (at 129.54 91.44 180) + (hierarchical_label "TRX_IRQ" (shape input) (at 129.54 91.44 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid c36f7147-bc6f-4cbe-8b56-617ae1aaead3) ) - (hierarchical_label "SPI2_SCK" (shape input) (at 129.54 104.14 180) + (hierarchical_label "ANT_SEN" (shape input) (at 129.54 104.14 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid d2fb2423-7bf4-4222-994d-25a9683eab67) ) - (hierarchical_label "SPI2_MISO" (shape input) (at 129.54 114.3 180) + (hierarchical_label "FPGA_EN" (shape input) (at 129.54 114.3 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid d9452562-ce7e-4680-9c6e-6998b86cb475) ) @@ -1412,7 +1411,7 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid df70582b-c4f2-479d-8c60-1cee46d8e0bc) ) - (hierarchical_label "PA1" (shape input) (at 167.64 81.28 0) + (hierarchical_label "TRX_RST" (shape input) (at 167.64 81.28 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid e702a3ea-106a-406d-9f17-c06eda1e35d1) ) @@ -1420,7 +1419,7 @@ (symbol (lib_id "power:GND") (at 73.66 39.37 0) (unit 1) (in_bom yes) (on_board yes) (uuid 033022b3-11f9-419e-a78e-1c7d6e6cd45c) - (property "Reference" "#PWR02" (id 0) (at 73.66 45.72 0) + (property "Reference" "#PWR0111" (id 0) (at 73.66 45.72 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 73.787 43.7642 0)) @@ -1458,7 +1457,7 @@ (symbol (lib_id "power:GND") (at 146.05 125.73 0) (unit 1) (in_bom yes) (on_board yes) (uuid 0c9b9dd2-dc58-4681-9b25-b9c3d020fbdc) - (property "Reference" "#PWR06" (id 0) (at 146.05 132.08 0) + (property "Reference" "#PWR0112" (id 0) (at 146.05 132.08 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 146.1516 129.667 0)) @@ -1496,7 +1495,7 @@ (symbol (lib_id "power:GND") (at 85.09 71.12 0) (unit 1) (in_bom yes) (on_board yes) (uuid 16165d61-b1b6-457b-9112-2a44bf6cf509) - (property "Reference" "#PWR03" (id 0) (at 85.09 77.47 0) + (property "Reference" "#PWR0113" (id 0) (at 85.09 77.47 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 85.1916 75.057 0)) @@ -1512,7 +1511,7 @@ (symbol (lib_id "power:GND") (at 68.58 132.08 0) (unit 1) (in_bom yes) (on_board yes) (uuid 16a98427-fe38-4150-bda5-d34f46303260) - (property "Reference" "#PWR01" (id 0) (at 68.58 138.43 0) + (property "Reference" "#PWR0114" (id 0) (at 68.58 138.43 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 68.707 136.4742 0)) @@ -1659,7 +1658,7 @@ (symbol (lib_id "power:GND") (at 119.38 48.26 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 65668de9-cfad-47e9-af62-b93c6c71733d) - (property "Reference" "#PWR04" (id 0) (at 119.38 54.61 0) + (property "Reference" "#PWR0110" (id 0) (at 119.38 54.61 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 119.38 52.7034 0)) @@ -1732,7 +1731,7 @@ (symbol (lib_id "power:GND") (at 179.07 53.34 0) (unit 1) (in_bom yes) (on_board yes) (uuid 8fa7f583-bdf9-41f1-bccf-e829f12287c2) - (property "Reference" "#PWR07" (id 0) (at 179.07 59.69 0) + (property "Reference" "#PWR0108" (id 0) (at 179.07 59.69 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 179.197 57.7342 0)) @@ -1856,7 +1855,7 @@ (symbol (lib_id "power:GND") (at 134.62 41.91 0) (unit 1) (in_bom yes) (on_board yes) (uuid cebeb080-fe76-40f5-9900-c193c3760ee3) - (property "Reference" "#PWR05" (id 0) (at 134.62 48.26 0) + (property "Reference" "#PWR0109" (id 0) (at 134.62 48.26 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 134.747 46.3042 0)) @@ -1958,7 +1957,7 @@ (property "Sheet name" "CAN" (id 0) (at 106.68 138.9884 0) (effects (font (size 1.27 1.27)) (justify left bottom)) ) - (property "Sheet file" "CAN.kicad_sch" (id 1) (at 106.68 161.8746 0) + (property "Sheet file" "can.kicad_sch" (id 1) (at 106.68 161.8746 0) (effects (font (size 1.27 1.27)) (justify left top)) ) (pin "CAN1_TX" input (at 123.19 148.59 0) diff --git a/power.kicad_sch b/power.kicad_sch index 94fb23c..41e31e4 100644 --- a/power.kicad_sch +++ b/power.kicad_sch @@ -5,41 +5,6 @@ (paper "A4") (lib_symbols - (symbol "Connector:TestPoint" (pin_numbers hide) (pin_names (offset 0.762) hide) (in_bom yes) (on_board yes) - (property "Reference" "TP" (id 0) (at 0 6.858 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "TestPoint" (id 1) (at 0 5.08 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "" (id 2) (at 5.08 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 5.08 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "test point tp" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "test point" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "Pin* Test*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "TestPoint_0_1" - (circle (center 0 3.302) (radius 0.762) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "TestPoint_1_1" - (pin passive line (at 0 0 90) (length 2.54) - (name "1" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - ) - ) (symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes) (property "Reference" "C" (id 0) (at 0.635 2.54 0) (effects (font (size 1.27 1.27)) (justify left)) @@ -342,57 +307,6 @@ ) ) ) - (symbol "Regulator_Linear:MIC5504-2.5YM5" (in_bom yes) (on_board yes) - (property "Reference" "U" (id 0) (at -7.62 8.89 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "MIC5504-2.5YM5" (id 1) (at -7.62 6.35 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 0 -10.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" (id 3) (at -6.35 6.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "Micrel LDO voltage regulator" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "300mA Low-dropout Voltage Regulator, Vout 2.5V, Vin up to 5.5V, SOT-23" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "SOT?23?5*" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "MIC5504-2.5YM5_0_1" - (rectangle (start -7.62 -5.08) (end 7.62 5.08) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "MIC5504-2.5YM5_1_1" - (pin power_in line (at -10.16 2.54 0) (length 2.54) - (name "VIN" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -7.62 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin input line (at -10.16 -2.54 0) (length 2.54) - (name "EN" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 7.62 -2.54 180) (length 2.54) hide - (name "NC" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at 10.16 2.54 180) (length 2.54) - (name "VOUT" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - ) - ) (symbol "lsf-kicad:BQ24013" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) (property "Reference" "U?" (id 0) (at -16.51 4.3307 0) (effects (font (size 1.27 1.27))) @@ -559,60 +473,6 @@ ) ) ) - (symbol "lsf-kicad:TCR2EE11" (in_bom yes) (on_board yes) - (property "Reference" "U6" (id 0) (at 0 9.3218 0) - (effects (font (size 1.27 1.27))) - ) - (property "Value" "TCR2EE11" (id 1) (at 0 7.0104 0) - (effects (font (size 1.27 1.27))) - ) - (property "Footprint" "Package_TO_SOT_SMD:SOT-553" (id 2) (at 0 -10.16 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://toshiba.semicon-storage.com/info/docget.jsp?did=13794&prodName=TCR2EF45" (id 3) (at -6.35 6.35 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Part Number" "TCR2EE11,LM(CT" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_keywords" "Micrel LDO voltage regulator" (id 5) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_description" "300mA Low-dropout Voltage Regulator, Vout 3.3V, Vin up to 5.5V, SOT-23" (id 6) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "SOT?23?5*" (id 7) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "TCR2EE11_0_1" - (rectangle (start -7.62 -5.08) (end 7.62 5.08) - (stroke (width 0.254) (type default) (color 0 0 0 0)) - (fill (type background)) - ) - ) - (symbol "TCR2EE11_1_1" - (pin input line (at -10.16 -2.54 0) (length 2.54) - (name "EN" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at 0 -7.62 90) (length 2.54) - (name "GND" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - (pin power_in line (at -10.16 2.54 0) (length 2.54) - (name "VIN" (effects (font (size 1.27 1.27)))) - (number "3" (effects (font (size 1.27 1.27)))) - ) - (pin power_out line (at 10.16 2.54 180) (length 2.54) - (name "VOUT" (effects (font (size 1.27 1.27)))) - (number "4" (effects (font (size 1.27 1.27)))) - ) - (pin no_connect line (at 7.62 -2.54 180) (length 2.54) hide - (name "NC" (effects (font (size 1.27 1.27)))) - (number "5" (effects (font (size 1.27 1.27)))) - ) - ) - ) (symbol "lsf-kicad:TPS62147" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) (property "Reference" "U" (id 0) (at -7.62 10.16 0) (effects (font (size 1.27 1.27))) @@ -767,6 +627,7 @@ ) ) + (junction (at 101.6 22.86) (diameter 0) (color 0 0 0 0) (uuid 24f17f5c-cca9-4a0d-a204-a63e5ad79069) ) @@ -776,54 +637,27 @@ (junction (at 135.89 22.86) (diameter 0) (color 0 0 0 0) (uuid 2d168149-598f-46db-ad08-3eb1fe558853) ) - (junction (at 148.59 158.75) (diameter 0) (color 0 0 0 0) - (uuid 372be226-6d07-405a-a7d2-5f729d9054e6) - ) (junction (at 69.85 22.86) (diameter 0) (color 0 0 0 0) (uuid 39d771ae-6257-4f92-8f0e-1d7fcf697df1) ) (junction (at 233.68 38.1) (diameter 0) (color 0 0 0 0) (uuid 3b187d3f-ace7-4053-8a03-b0a8594e7273) ) - (junction (at 43.18 158.75) (diameter 0) (color 0 0 0 0) - (uuid 459991a0-7361-44d5-bb4d-58e9022af92e) - ) - (junction (at 107.95 158.75) (diameter 0) (color 0 0 0 0) - (uuid 4750485c-15c0-4237-8550-2cabef3cbed4) - ) (junction (at 165.1 22.86) (diameter 0) (color 0 0 0 0) (uuid 4c481fe6-3356-40c2-bd1b-de8f086ee620) ) - (junction (at 144.78 158.75) (diameter 0) (color 0 0 0 0) - (uuid 51917217-9918-47ef-81cf-eca912ef7ca7) - ) (junction (at 246.38 30.48) (diameter 0) (color 0 0 0 0) (uuid 535114b1-8d42-4600-a8be-1f4ec232eacb) ) - (junction (at 81.28 158.75) (diameter 0) (color 0 0 0 0) - (uuid 545ad400-bf15-4a57-84cc-5ca019899a9c) - ) - (junction (at 116.84 158.75) (diameter 0) (color 0 0 0 0) - (uuid 56ec9a97-5d86-4b11-b1d1-1a725e90204b) - ) - (junction (at 77.47 158.75) (diameter 0) (color 0 0 0 0) - (uuid 5bddf81b-04a7-43e1-8857-2461efbac6ab) + (junction (at 167.64 93.98) (diameter 0) (color 0 0 0 0) + (uuid 56efc546-3c88-4f72-b1b1-2a00cbb9676e) ) (junction (at 85.09 77.47) (diameter 0) (color 0 0 0 0) (uuid 6fa84cf0-dbd0-485d-a318-82c5e12abfce) ) - (junction (at 95.25 158.75) (diameter 0) (color 0 0 0 0) - (uuid 753e0d42-083e-40d8-9d20-1215e22e4dbf) - ) (junction (at 207.01 116.84) (diameter 0) (color 0 0 0 0) (uuid 7677e5fb-6300-44a6-871a-eaedad3e4275) ) - (junction (at 63.5 170.18) (diameter 0) (color 0 0 0 0) - (uuid 7684090e-1eae-45e5-9b63-ce754b640d75) - ) - (junction (at 130.81 170.18) (diameter 0) (color 0 0 0 0) - (uuid 7faa629a-b12a-4c3c-adbf-4e75124e85eb) - ) (junction (at 260.35 30.48) (diameter 0) (color 0 0 0 0) (uuid 8149a1d3-dc60-43cb-9365-d34f0037b3b9) ) @@ -863,9 +697,6 @@ (junction (at 101.6 72.39) (diameter 0) (color 0 0 0 0) (uuid d13f6fd4-6f3b-4e80-a131-d0013170430a) ) - (junction (at 251.46 30.48) (diameter 0) (color 0 0 0 0) - (uuid d7c35288-c8b3-4302-848a-8f224e59ee84) - ) (junction (at 185.42 93.98) (diameter 0) (color 0 0 0 0) (uuid da2f4872-154a-4d78-a34c-211f0887a6bc) ) @@ -893,10 +724,6 @@ (no_connect (at 86.36 41.91) (uuid cf82c222-0cd5-4850-9ec2-0b79f7520573)) - (wire (pts (xy 130.81 170.18) (xy 130.81 171.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 02afc910-7165-47ff-a039-e7246caef28b) - ) (wire (pts (xy 223.52 40.64) (xy 246.38 40.64)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 03495df6-67f6-4433-b07d-4cbaad30f48d) @@ -909,6 +736,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0ae1d9af-8111-45c0-814d-fbcc19c0c619) ) + (wire (pts (xy 167.64 93.98) (xy 175.26 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0ee12ce4-bc3d-427a-8a9e-010e684865a7) + ) (wire (pts (xy 101.6 69.85) (xy 101.6 72.39)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1253b7fa-dae6-4319-948c-1e06bcb6db2b) @@ -949,14 +780,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 22f82602-72c2-4b91-846c-4db79572618f) ) - (wire (pts (xy 40.64 181.61) (xy 49.53 181.61)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2373f988-4db3-406d-b90b-05a74d6020ce) - ) - (wire (pts (xy 144.78 170.18) (xy 130.81 170.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 245b4970-37b8-4b3e-8e92-b0af8425a875) - ) (wire (pts (xy 86.36 59.69) (xy 74.93 59.69)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 24b4283c-cad5-4631-bfc2-4015cf482097) @@ -977,13 +800,9 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2b61d895-c8b1-4cbb-b730-5c78425a4b87) ) - (wire (pts (xy 148.59 158.75) (xy 148.59 156.21)) + (wire (pts (xy 185.42 93.98) (xy 207.01 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 2d4151e4-5d88-4e92-ae4d-ae8f6f2af2b5) - ) - (wire (pts (xy 144.78 166.37) (xy 144.78 170.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 300e035e-29c8-4d54-adeb-f54e8869b631) + (uuid 336dc1c2-4813-480e-b801-ebbaa75528d7) ) (wire (pts (xy 234.95 38.1) (xy 233.68 38.1)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -1021,10 +840,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 50e8470a-293a-4084-9d99-cff3d701f824) ) - (wire (pts (xy 260.35 30.48) (xy 251.46 30.48)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 532ea869-5efb-4965-a49b-0b96f7c647f8) - ) (wire (pts (xy 101.6 22.86) (xy 127 22.86)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5591fc44-b547-49ce-a818-27178ffeb2ad) @@ -1053,14 +868,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5d2f7479-eb61-4327-80cc-feea2f2438dd) ) - (wire (pts (xy 130.81 168.91) (xy 130.81 170.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5d9e27d1-02eb-4649-a62f-3d5efc50f4dc) - ) - (wire (pts (xy 140.97 158.75) (xy 144.78 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 5e609e04-bce5-4378-9bf6-1386cee93512) - ) (wire (pts (xy 69.85 22.86) (xy 69.85 24.13)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6845df58-7b28-45ae-9b8d-2273b15a2fbb) @@ -1089,10 +896,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7eaba9f4-eea1-4c3a-881d-6fa39f531d1e) ) - (wire (pts (xy 107.95 166.37) (xy 107.95 172.72)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7f0dbe5f-3fd5-4511-a1ff-ec08c374dba1) - ) (wire (pts (xy 69.85 31.75) (xy 69.85 44.45)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 82442840-13e9-4b42-b4a2-2b228a322878) @@ -1101,18 +904,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 82a91a77-5035-45fb-b074-426edd54644f) ) - (wire (pts (xy 24.13 158.75) (xy 43.18 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 82b70c98-efad-44e6-8313-21c90479d8cb) - ) - (wire (pts (xy 43.18 158.75) (xy 53.34 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 837c2539-a529-4b89-a8b2-c6184b483f9a) - ) - (wire (pts (xy 49.53 163.83) (xy 53.34 163.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 84881199-eaed-4b32-88ff-9856bd186864) - ) (wire (pts (xy 116.84 46.99) (xy 130.81 46.99)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 84fa9fc8-3bcf-4b27-b099-3732ac7a933d) @@ -1125,10 +916,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 89ea29c3-009c-4b0a-9c16-0faa28916bd4) ) - (wire (pts (xy 163.83 158.75) (xy 148.59 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9231178a-c5b3-45b7-8f97-57603cc57cd7) - ) (wire (pts (xy 147.32 22.86) (xy 165.1 22.86)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 93019553-24ba-4f87-afba-d81b55213900) @@ -1141,14 +928,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 97c2f046-dda9-47f4-98f3-3f207a053262) ) - (wire (pts (xy 116.84 163.83) (xy 120.65 163.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9e3c2b24-6659-47a2-bd1d-b081c218f0e3) - ) - (wire (pts (xy 185.42 93.98) (xy 207.01 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9eb60288-fd34-407e-bc75-0d63d23be20e) - ) (wire (pts (xy 172.72 22.86) (xy 200.66 22.86)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a09802b6-c962-4019-bf64-01da664e2dbf) @@ -1157,26 +936,18 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a2d210ac-9a76-49d2-a522-ee86ad3a8092) ) - (wire (pts (xy 148.59 93.98) (xy 175.26 93.98)) + (wire (pts (xy 21.59 34.29) (xy 25.4 34.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a3268028-8726-4f78-84be-336b7915b8f6) + ) + (wire (pts (xy 148.59 93.98) (xy 167.64 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a46ad968-126b-4288-afa0-80d5e33e464e) ) - (wire (pts (xy 77.47 166.37) (xy 77.47 170.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a46f5c0e-7b98-4810-ae01-a80772aa65ba) - ) - (wire (pts (xy 63.5 170.18) (xy 63.5 171.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a4c9fff3-7873-4fba-9465-001ce06d2798) - ) (wire (pts (xy 106.68 69.85) (xy 106.68 72.39)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a4ee2b40-f988-48f3-8bd7-5e4002a8aabc) ) - (wire (pts (xy 49.53 181.61) (xy 49.53 163.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a5ac3324-06b3-44c6-b91b-84a0469f63e5) - ) (wire (pts (xy 74.93 77.47) (xy 74.93 72.39)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a7d45b04-bda4-4675-86a4-51ffcf66d470) @@ -1193,14 +964,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid aaf1594a-26eb-4570-9456-227bc08d09cf) ) - (wire (pts (xy 144.78 158.75) (xy 148.59 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ab9a9193-8dcd-4cfc-a118-53936b727d37) - ) - (wire (pts (xy 73.66 158.75) (xy 77.47 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ad45a499-27cc-48fd-8f9d-237e4fc9c3d8) - ) (wire (pts (xy 148.59 93.98) (xy 148.59 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b05c8259-77ce-43f6-8729-ab554e3afd1c) @@ -1217,10 +980,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ba1ea6bb-d708-4fe9-915d-9cffb9510e14) ) - (wire (pts (xy 77.47 170.18) (xy 63.5 170.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid babdfb1f-4378-45bf-9995-04f226b7ad0b) - ) (wire (pts (xy 127 41.91) (xy 127 36.83)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid bb5ce614-b33e-42f2-929d-c1530d1f63ef) @@ -1257,10 +1016,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ce6d2026-6310-47a6-9506-005584cbc327) ) - (wire (pts (xy 116.84 158.75) (xy 116.84 163.83)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cf844a42-6ba6-4f10-a53a-67c0f3e7e45a) - ) (wire (pts (xy 85.09 95.25) (xy 85.09 77.47)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d4852874-bbe3-4003-b6a7-796d69db9a14) @@ -1281,11 +1036,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d6fd53f1-4eed-4962-8538-6ec82ec1b466) ) - (wire (pts (xy 95.25 154.94) (xy 95.25 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid d9acb412-e2ba-43f7-9ac5-85bf6a3e77c9) - ) - (wire (pts (xy 251.46 30.48) (xy 246.38 30.48)) + (wire (pts (xy 246.38 30.48) (xy 260.35 30.48)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid dcb7b9e7-ea5a-46aa-a389-3d0b3510f50c) ) @@ -1293,14 +1044,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ddb4555f-cecf-441f-bd85-e398a3dd9a63) ) - (wire (pts (xy 95.25 158.75) (xy 107.95 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ddbba78b-3468-4141-9d4f-ceadd40f54e6) - ) - (wire (pts (xy 120.65 158.75) (xy 116.84 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid de5093cb-0c99-4252-b301-fe6d0c6c10c9) - ) (wire (pts (xy 207.01 132.08) (xy 207.01 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid df28e018-29b9-4a48-b858-623f50d01983) @@ -1321,10 +1064,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e6702e4c-8c68-4241-a987-166ec4f79dad) ) - (wire (pts (xy 77.47 158.75) (xy 81.28 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e797fa46-8601-4aeb-8265-9e5b5c9a8c4e) - ) (wire (pts (xy 127 29.21) (xy 127 22.86)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e7d51e7a-b0fd-4c95-9045-db5c875073df) @@ -1341,10 +1080,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid edfa1e81-55a3-48df-abd1-f61f9e5254d1) ) - (wire (pts (xy 107.95 158.75) (xy 116.84 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f0aea582-d01c-4f1c-95bc-d5c0013e6a12) - ) (wire (pts (xy 185.42 38.1) (xy 185.42 45.72)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f0bfced9-32c4-4bf5-a7ab-9d31fc84072b) @@ -1361,27 +1096,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f5e617a7-deff-44d7-bdd9-afc84353967c) ) - (wire (pts (xy 81.28 158.75) (xy 95.25 158.75)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f729b0bd-64ab-4f07-8323-d053a4454d34) - ) - (wire (pts (xy 43.18 171.45) (xy 43.18 166.37)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f8509d48-79c5-4c5d-90ef-6fc955e33758) - ) - (wire (pts (xy 63.5 168.91) (xy 63.5 170.18)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fd327109-6f3f-42da-9944-5629de26877e) - ) - (text "FPGA VCCAUX" (at 44.45 190.5 0) - (effects (font (size 3.4036 3.4036)) (justify left bottom)) - (uuid 01bbb46e-3b58-4196-a7fb-032f7bd4ca2f) - ) - (text "FPGA VCC CORE" (at 111.76 190.5 0) - (effects (font (size 3.4036 3.4036)) (justify left bottom)) - (uuid 20e329db-3bb4-4ba5-b441-72be0c9f2cc3) - ) (text "Not_used" (at 123.19 49.53 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 6f1541ca-d289-49f7-a3d2-aefb6b3d7bea) @@ -1396,39 +1111,31 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 2ed77323-34f2-44cc-b9b0-256fea7e7246) ) - (label "3V3" (at 269.24 30.48 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 34a75f7d-97c0-4985-93a9-d7249c288d04) - ) (label "BAT+" (at 69.85 22.86 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 51f803df-23a5-40be-bbba-1c6bc9cfbdf4) ) - (label "3V3" (at 24.13 158.75 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 53ef2abd-2daf-4045-972e-a13d0d934153) - ) (label "CELLX" (at 73.66 44.45 0) (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid ec66b105-96b2-40a9-bcad-3a3f482cf9bd) ) - (hierarchical_label "PV+" (shape input) (at 25.4 34.29 180) + (global_label "3V3" (shape output) (at 273.05 30.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7e67a3b2-0b19-4896-87d7-6a837d9374eb) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 278.9707 30.4006 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + + (hierarchical_label "PV+" (shape input) (at 21.59 34.29 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 12338cee-1aca-48df-b7e9-37a84eb8d85a) ) - (hierarchical_label "1V1" (shape output) (at 163.83 158.75 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 4dde8cfc-c952-420d-97eb-1c65ad9216ac) - ) (hierarchical_label "CHG_EN" (shape input) (at 167.64 109.22 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 5054399a-2f15-4337-9a4b-b3781e92d7ab) ) - (hierarchical_label "2V5_EN" (shape input) (at 40.64 181.61 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 54eab607-2e3b-4444-8636-b5674631e3f5) - ) (hierarchical_label "BAT+" (shape input) (at 59.69 22.86 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 589fffb3-48b0-4c1e-b33e-c7c9916d0067) @@ -1461,10 +1168,6 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid 976ce83b-bdb2-42fe-9523-639557ceb894) ) - (hierarchical_label "3V3" (shape output) (at 273.05 30.48 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid aa83d8a0-cb50-41b1-bd1f-8c2d66676cac) - ) (hierarchical_label "CHG_CPLT" (shape output) (at 193.04 109.22 0) (effects (font (size 1.27 1.27)) (justify left)) (uuid b13b8c59-1fda-494a-8fb1-b579b0b8184f) @@ -1481,10 +1184,6 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid f074fecf-c9b0-4ad8-b0cb-2c3a454447b4) ) - (hierarchical_label "2V5" (shape output) (at 95.25 154.94 0) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid f51d1626-6c63-400f-9ec6-a146905a7d16) - ) (symbol (lib_id "lsf-kicad:TPS62147") (at 200.66 33.02 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) @@ -1542,28 +1241,10 @@ (pin "2" (uuid 405448a3-7e33-4360-ab36-cc663597bf0c)) ) - (symbol (lib_id "Device:C") (at 43.18 162.56 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 10f13d83-0cb6-4c7e-89a5-5d4bebe7feae) - (property "Reference" "C9" (id 0) (at 36.7792 162.56 90)) - (property "Value" "1uF" (id 1) (at 39.0906 162.56 90)) - (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 44.1452 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 43.18 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Part Number" "LMK107B7225KA-T" (id 5) (at 43.18 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 1667074b-30ab-4613-b293-d13401e074da)) - (pin "2" (uuid e4790cb1-ff26-4655-b29d-4dd444c761a0)) - ) - (symbol (lib_id "Device:R") (at 207.01 125.73 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 11e3aace-f4b7-4211-a7ec-5e61fd67abf9) - (property "Reference" "R?" (id 0) (at 209.55 124.4599 0) + (property "Reference" "R401" (id 0) (at 209.55 124.4599 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "R" (id 1) (at 209.55 126.9999 0) @@ -1582,7 +1263,7 @@ (symbol (lib_id "Device:C") (at 148.59 102.87 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 120536d5-d8cf-4850-bdc0-5584120110bf) - (property "Reference" "C?" (id 0) (at 152.4 101.5999 0) + (property "Reference" "C401" (id 0) (at 152.4 101.5999 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "470nF" (id 1) (at 152.4 104.1399 0) @@ -1635,28 +1316,10 @@ (pin "2" (uuid de724be1-25e2-4c40-8696-648627fd0a6f)) ) - (symbol (lib_id "Connector:TestPoint") (at 148.59 156.21 0) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) - (uuid 27187da2-842b-4650-8ef5-75cf942cd526) - (property "Reference" "TP2" (id 0) (at 151.13 151.6379 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "1V1" (id 1) (at 151.13 154.1779 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (id 2) (at 153.67 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 153.67 156.21 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 8160420b-6342-4c0d-9da2-f0f36fe40c95)) - ) - (symbol (lib_id "Device:C") (at 207.01 100.33 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 340d7c2e-4066-4760-9a44-ff271e1cd2c3) - (property "Reference" "C?" (id 0) (at 210.82 99.0599 0) + (property "Reference" "C402" (id 0) (at 210.82 99.0599 0) (effects (font (size 1.27 1.27)) (justify left)) ) (property "Value" "100nF" (id 1) (at 210.82 101.5999 0) @@ -1672,24 +1335,6 @@ (pin "2" (uuid 87dcbb9f-adb9-489e-b02d-6fd9aa52a4f7)) ) - (symbol (lib_id "Device:C") (at 107.95 162.56 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 3acd7c65-7870-4a20-b5e9-5fe2d2e12541) - (property "Reference" "C11" (id 0) (at 101.5492 162.56 90)) - (property "Value" "0.1uF" (id 1) (at 103.8606 162.56 90)) - (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 108.9152 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 107.95 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Part Number" "LMF105B7104KVHF" (id 5) (at 107.95 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid fbcc19a5-1e7b-4335-8f9f-3682636308ca)) - (pin "2" (uuid 84ade040-a4d9-446c-bae0-be27a0afd9d7)) - ) - (symbol (lib_id "Jumper:Jumper_3_Bridged12") (at 165.1 35.56 90) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 3ce8c44a-189d-4168-8bde-edf59925de50) @@ -1710,6 +1355,22 @@ (pin "3" (uuid b4c53b3d-4112-46a2-a1a4-d7df7fa173fa)) ) + (symbol (lib_id "power:PWR_FLAG") (at 167.64 93.98 0) (unit 1) + (in_bom yes) (on_board yes) + (uuid 407b2348-9c3b-4a62-bb95-f6f2c5cca5be) + (property "Reference" "#FLG0101" (id 0) (at 167.64 92.075 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "PWR_FLAG" (id 1) (at 167.64 90.17 0)) + (property "Footprint" "" (id 2) (at 167.64 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 167.64 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9e1b0636-48b4-4708-af46-becb961e456f)) + ) + (symbol (lib_id "Device:R") (at 233.68 34.29 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 43d5000a-8ff2-4b39-aa4f-e6008737a965) @@ -1748,26 +1409,10 @@ (pin "1" (uuid 6ea82601-3652-44a9-b045-41466cdc224e)) ) - (symbol (lib_id "power:GND") (at 63.5 171.45 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 482c438e-4ea2-4fb2-8af0-cbe876a82774) - (property "Reference" "#PWR011" (id 0) (at 63.5 177.8 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 63.627 175.8442 0)) - (property "Footprint" "" (id 2) (at 63.5 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 63.5 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid a54d657b-a2d6-43e9-bcbb-53450e29d7b7)) - ) - (symbol (lib_id "power:GND") (at 180.34 124.46 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 49347ebd-cf41-4df5-b324-a6d7d428e0d5) - (property "Reference" "#PWR?" (id 0) (at 180.34 130.81 0) + (property "Reference" "#PWR0102" (id 0) (at 180.34 130.81 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 180.34 129.54 0)) @@ -1800,22 +1445,6 @@ (pin "3" (uuid f4b2b3ab-fa1f-4b63-951d-94b0ce39e395)) ) - (symbol (lib_id "power:GND") (at 130.81 171.45 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 502ee865-096f-4431-ae75-b43638e9d46f) - (property "Reference" "#PWR014" (id 0) (at 130.81 177.8 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 130.937 175.8442 0)) - (property "Footprint" "" (id 2) (at 130.81 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 130.81 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 755d6839-9acc-4e71-aabf-1f1d969eebdc)) - ) - (symbol (lib_id "Device:C") (at 260.35 34.29 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 510081ab-d1af-45db-9273-92cc8ad01fef) @@ -1872,22 +1501,6 @@ (pin "EP" (uuid f9f5e935-64c6-4af8-a537-a28113d51b1f)) ) - (symbol (lib_id "power:PWR_FLAG") (at 101.6 22.86 0) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) - (uuid 66840164-b4fb-464b-9fe3-901efd9d9028) - (property "Reference" "#FLG07" (id 0) (at 101.6 20.955 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "PWR_FLAG" (id 1) (at 101.6 17.78 0)) - (property "Footprint" "" (id 2) (at 101.6 22.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 101.6 22.86 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid ef51c871-a428-430e-8258-37dc6195a474)) - ) - (symbol (lib_id "Device:R") (at 246.38 49.53 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 6c2bace0-8ff7-47a7-9bdd-c08ba18c5687) @@ -1913,7 +1526,7 @@ (symbol (lib_id "power:GND") (at 207.01 132.08 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 7064686c-7a4c-4775-8aaa-ba6e2dcd076e) - (property "Reference" "#PWR?" (id 0) (at 207.01 138.43 0) + (property "Reference" "#PWR0103" (id 0) (at 207.01 138.43 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 207.01 137.16 0)) @@ -1981,27 +1594,6 @@ (pin "2" (uuid bb7258a3-5e63-447c-83ac-7f994a5ab767)) ) - (symbol (lib_id "lsf-kicad:TCR2EE11") (at 130.81 161.29 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid 8dcaa4b5-d223-4aef-bbbf-252d5d617d3b) - (property "Reference" "U6" (id 0) (at 130.81 151.9682 0)) - (property "Value" "TCR2EE11" (id 1) (at 130.81 154.2796 0)) - (property "Footprint" "Package_TO_SOT_SMD:SOT-553" (id 2) (at 130.81 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "https://toshiba.semicon-storage.com/info/docget.jsp?did=13794&prodName=TCR2EF45" (id 3) (at 124.46 154.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Part Number" "TCR2EE11,LM(CT" (id 5) (at 130.81 161.29 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 4a45b64b-d776-47a9-97d7-8241f12f7846)) - (pin "2" (uuid d128ce64-a115-4c69-a3e0-6ce24d5b3587)) - (pin "3" (uuid b471d7ae-4721-4ba4-be7c-bfe3b9a8c8a7)) - (pin "4" (uuid 8710c6a4-cf4d-4843-b886-27e943825c0b)) - (pin "5" (uuid 03cbeae3-c5b4-4f67-8850-02e75d113658)) - ) - (symbol (lib_id "Device:C") (at 147.32 34.29 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 95750746-df56-441a-983f-57ed794f59e8) @@ -2059,28 +1651,6 @@ (pin "1" (uuid 7199dd35-7127-4cc2-8a8b-b1f2fb401797)) ) - (symbol (lib_id "Device:C") (at 144.78 162.56 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid a3ec847d-3d0d-484c-b72c-27d0f6c31bdc) - (property "Reference" "C14" (id 0) (at 147.701 161.3916 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "1uF" (id 1) (at 147.701 163.703 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 145.7452 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 144.78 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Part Number" "LMK107B7225KA-T" (id 5) (at 144.78 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid daf107d9-70a9-4f59-b019-1728eb63dc74)) - (pin "2" (uuid 2100a8bc-1561-4f4a-8292-9bb226d1d140)) - ) - (symbol (lib_id "Device:R") (at 69.85 49.53 0) (unit 1) (in_bom yes) (on_board yes) (uuid a85843af-99d6-4035-aedf-d193dba857de) @@ -2146,22 +1716,6 @@ (pin "2" (uuid 9761bba6-51aa-4618-af41-5670fb27294c)) ) - (symbol (lib_id "power:PWR_FLAG") (at 251.46 30.48 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid ac09a156-5ad9-407c-aa3c-6171c73dacb7) - (property "Reference" "#FLG08" (id 0) (at 251.46 28.575 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "PWR_FLAG" (id 1) (at 251.46 26.67 0)) - (property "Footprint" "" (id 2) (at 251.46 30.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 251.46 30.48 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 364dc009-247e-48f3-bbc1-ab1997e57aa0)) - ) - (symbol (lib_id "Device:R") (at 246.38 34.29 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid afb5b2b8-7a86-4cb0-84cb-d6740b684449) @@ -2250,53 +1804,10 @@ (pin "2" (uuid 3b2526b9-7a8c-4a73-a5ff-c3e5dd2dbeae)) ) - (symbol (lib_id "Device:C") (at 77.47 162.56 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid b9cf6d8e-3ab7-4d68-9e32-fe2997d8bf79) - (property "Reference" "C10" (id 0) (at 80.391 161.3916 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "1uF" (id 1) (at 80.391 163.703 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "Capacitor_SMD:C_0603_1608Metric" (id 2) (at 78.4352 166.37 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 77.47 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Part Number" "LMK107B7225KA-T" (id 5) (at 77.47 162.56 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid e4474014-c7b4-4d3c-9f74-52b067ffda1f)) - (pin "2" (uuid 56d73c68-6a39-4dbc-a29f-e0b2252c96ff)) - ) - - (symbol (lib_id "Regulator_Linear:MIC5504-2.5YM5") (at 63.5 161.29 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid ca163c16-8630-44d5-a8f5-50863cc068d8) - (property "Reference" "U4" (id 0) (at 63.5 151.9682 0)) - (property "Value" "MIC5504-2.5YM5" (id 1) (at 63.5 154.2796 0)) - (property "Footprint" "Package_TO_SOT_SMD:SOT-23-5" (id 2) (at 63.5 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "http://ww1.microchip.com/downloads/en/DeviceDoc/MIC550X.pdf" (id 3) (at 57.15 154.94 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Part Number" "MIC5219-2.5YM5-TR" (id 5) (at 63.5 161.29 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 953e5cd8-4222-4e7e-88c3-7c0c42cad64c)) - (pin "2" (uuid 16a2b72d-eb88-48aa-952a-924703c68ff1)) - (pin "3" (uuid 180a1337-4147-4f60-ad3e-46bb0c0f2e97)) - (pin "4" (uuid 550e09e3-13f7-430a-a623-df4b9f3057dd)) - (pin "5" (uuid c78d2d6f-f99c-4b61-9342-0cc2a8e6ef84)) - ) - (symbol (lib_id "lsf-kicad:BQ24013") (at 180.34 109.22 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid cf6ec273-dc3a-4eb5-9ff5-f8371f35f598) - (property "Reference" "U?" (id 0) (at 163.83 104.8893 0)) + (property "Reference" "U401" (id 0) (at 163.83 104.8893 0)) (property "Value" "BQ24012" (id 1) (at 163.83 107.4293 0)) (property "Footprint" "Package_SON:VSON-10-1EP_3x3mm_P0.5mm_EP1.65x2.4mm_ThermalVias" (id 2) (at 180.34 127 0) (effects (font (size 1.27 1.27)) hide) @@ -2335,24 +1846,6 @@ (pin "1" (uuid 5f784e29-b64f-45b5-83bb-aab18f7b845e)) ) - (symbol (lib_id "Connector:TestPoint") (at 81.28 158.75 0) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) - (uuid d8a40e66-9193-4ea0-bb90-6adefac55e31) - (property "Reference" "TP1" (id 0) (at 83.82 154.1779 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "2V5" (id 1) (at 83.82 156.7179 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "TestPoint:TestPoint_Pad_D1.0mm" (id 2) (at 86.36 158.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 86.36 158.75 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid 92dd96ae-7a33-4a80-a3d9-203c50aae3b9)) - ) - (symbol (lib_id "power:GND") (at 246.38 54.61 0) (unit 1) (in_bom yes) (on_board yes) (uuid da5b23d0-9562-43cc-9d97-345a89d07dbc) @@ -2369,26 +1862,10 @@ (pin "1" (uuid 64101013-4ce1-40dc-91c1-1f470442396d)) ) - (symbol (lib_id "power:GND") (at 107.95 172.72 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid ee3385a4-1512-40b5-a4fd-f520e9f48b2a) - (property "Reference" "#PWR013" (id 0) (at 107.95 179.07 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 108.077 177.1142 0)) - (property "Footprint" "" (id 2) (at 107.95 172.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 107.95 172.72 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid e40e4507-56e7-40fa-a2c9-7c3ba4e5f76e)) - ) - (symbol (lib_id "power:GND") (at 148.59 110.49 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid f3081cfb-3b07-4602-8a40-9b582446a168) - (property "Reference" "#PWR?" (id 0) (at 148.59 116.84 0) + (property "Reference" "#PWR0101" (id 0) (at 148.59 116.84 0) (effects (font (size 1.27 1.27)) hide) ) (property "Value" "GND" (id 1) (at 148.59 115.57 0)) @@ -2433,22 +1910,6 @@ (pin "1" (uuid d29a0159-b914-441a-911e-9aa0e722b080)) ) - (symbol (lib_id "power:GND") (at 43.18 171.45 0) (unit 1) - (in_bom yes) (on_board yes) - (uuid ff56911f-d2ad-4c8d-bb5b-8cab84e4dc7b) - (property "Reference" "#PWR010" (id 0) (at 43.18 177.8 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Value" "GND" (id 1) (at 43.307 175.8442 0)) - (property "Footprint" "" (id 2) (at 43.18 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 43.18 171.45 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid baf7f185-0a9b-4ac3-a591-0e0e9234d8c1)) - ) - (sheet (at 25.4 29.21) (size 15.24 10.16) (fields_autoplaced) (stroke (width 0.1524) (type solid) (color 0 0 0 0)) (fill (color 0 0 0 0.0000)) diff --git a/pv.kicad_sch b/pv.kicad_sch index e22ee08..8194df0 100644 --- a/pv.kicad_sch +++ b/pv.kicad_sch @@ -56,63 +56,6 @@ ) ) ) - (symbol "Device:CP1" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) - (property "Reference" "C" (id 0) (at 0.635 2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Value" "Device_CP1" (id 1) (at 0.635 -2.54 0) - (effects (font (size 1.27 1.27)) (justify left)) - ) - (property "Footprint" "" (id 2) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "" (id 3) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "ki_fp_filters" "CP_*" (id 4) (at 0 0 0) - (effects (font (size 1.27 1.27)) hide) - ) - (symbol "CP1_0_1" - (polyline - (pts - (xy -2.032 0.762) - (xy 2.032 0.762) - ) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.778 2.286) - (xy -0.762 2.286) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (polyline - (pts - (xy -1.27 1.778) - (xy -1.27 2.794) - ) - (stroke (width 0) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - (arc (start 2.032 -1.27) (mid 0 -0.5572) (end -2.032 -1.27) - (stroke (width 0.508) (type default) (color 0 0 0 0)) - (fill (type none)) - ) - ) - (symbol "CP1_1_1" - (pin passive line (at 0 3.81 270) (length 2.794) - (name "~" (effects (font (size 1.27 1.27)))) - (number "1" (effects (font (size 1.27 1.27)))) - ) - (pin passive line (at 0 -3.81 90) (length 3.302) - (name "~" (effects (font (size 1.27 1.27)))) - (number "2" (effects (font (size 1.27 1.27)))) - ) - ) - ) (symbol "Device:D_Zener" (pin_numbers hide) (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) (property "Reference" "D" (id 0) (at 0 2.54 0) (effects (font (size 1.27 1.27))) @@ -407,7 +350,6 @@ ) ) - (junction (at 189.23 83.82) (diameter 0) (color 0 0 0 0) (uuid 049ef857-3c89-4833-b2ea-1d8bad1353ae) ) @@ -684,10 +626,6 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 388dd820-af61-456b-8a43-9e20ab62bde4) ) - (label "XSHUT" (at 129.54 90.17 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) - (uuid 7b1ae90e-a317-4a35-957c-b356dc752d5f) - ) (label "MPP-SET" (at 129.54 92.71 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid c5b84277-b559-4a55-8b76-4b03319b06ed) @@ -736,7 +674,7 @@ (pin "2" (uuid 9b7a3a36-22ad-4361-ae57-91b14c09e0ae)) ) - (symbol (lib_id "Device:CP1") (at 207.01 68.58 0) (unit 1) + (symbol (lib_id "Device:C") (at 207.01 68.58 0) (unit 1) (in_bom yes) (on_board yes) (uuid 1a96deb6-ee95-415e-9b41-b0851b05ef95) (property "Reference" "COUT2" (id 0) (at 209.931 67.4116 0) @@ -745,7 +683,7 @@ (property "Value" "33uF" (id 1) (at 209.931 69.723 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 207.01 68.58 0) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 207.9752 72.39 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (id 3) (at 207.01 68.58 0) @@ -758,7 +696,7 @@ (pin "2" (uuid 730c5cdc-2234-40c9-9bb5-bde0b6c7a87c)) ) - (symbol (lib_id "Device:CP1") (at 73.66 97.79 0) (unit 1) + (symbol (lib_id "Device:C") (at 73.66 97.79 0) (unit 1) (in_bom yes) (on_board yes) (uuid 2691ee9d-4993-4b3a-98e9-c68d57226aa6) (property "Reference" "CIN1" (id 0) (at 76.581 96.6216 0) @@ -767,7 +705,7 @@ (property "Value" "33uF" (id 1) (at 76.581 98.933 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 73.66 97.79 0) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 74.6252 101.6 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (id 3) (at 73.66 97.79 0) @@ -844,7 +782,7 @@ (pin "1" (uuid bdf27c52-f2da-4f61-b551-000c2a2a1ed4)) ) - (symbol (lib_id "Device:CP1") (at 83.82 97.79 0) (unit 1) + (symbol (lib_id "Device:C") (at 83.82 97.79 0) (unit 1) (in_bom yes) (on_board yes) (uuid 6aee137e-e781-4615-b9ce-0bc37ca72352) (property "Reference" "CIN2" (id 0) (at 86.741 96.6216 0) @@ -853,7 +791,7 @@ (property "Value" "33uF" (id 1) (at 86.741 98.933 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 83.82 97.79 0) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 84.7852 101.6 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (id 3) (at 83.82 97.79 0) @@ -1072,7 +1010,7 @@ (pin "2" (uuid b35364a2-1e34-42bd-a30a-04ca87ea93ae)) ) - (symbol (lib_id "Device:CP1") (at 194.31 68.58 0) (unit 1) + (symbol (lib_id "Device:C") (at 194.31 68.58 0) (unit 1) (in_bom yes) (on_board yes) (uuid b80795f3-469d-4352-a848-779504cc81ce) (property "Reference" "COUT1" (id 0) (at 197.231 67.4116 0) @@ -1081,7 +1019,7 @@ (property "Value" "33uF" (id 1) (at 197.231 69.723 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 194.31 68.58 0) + (property "Footprint" "Capacitor_SMD:C_0805_2012Metric" (id 2) (at 195.2752 72.39 0) (effects (font (size 1.27 1.27)) hide) ) (property "Datasheet" "~" (id 3) (at 194.31 68.58 0) diff --git a/rffe.kicad_sch b/rffe.kicad_sch new file mode 100644 index 0000000..6cc9aa0 --- /dev/null +++ b/rffe.kicad_sch @@ -0,0 +1,46 @@ +(kicad_sch (version 20211123) (generator eeschema) + + (uuid 735460c7-c340-4a3b-b285-53b16171d8bf) + + (paper "A4") + + (lib_symbols + ) + + + (no_connect (at 146.05 100.33) (uuid 1778f52c-14a4-4163-80df-f033c875dac0)) + (no_connect (at 146.05 104.14) (uuid 36fad4bc-574b-4cd4-91ce-3c08da015d33)) + (no_connect (at 146.05 121.92) (uuid 629c32b4-082f-4122-9bb7-59f7462ca4cb)) + (no_connect (at 146.05 107.95) (uuid 7eed9830-4b9a-49db-bec1-959b040308f0)) + (no_connect (at 146.05 111.76) (uuid 99cac906-76bd-4fb3-b1a0-6d5c9242655a)) + (no_connect (at 146.05 116.84) (uuid c11c7b8f-d11d-488c-b6ee-7a214bb7f5c6)) + + (global_label "3V3" (shape input) (at 146.05 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 467b61d3-8da1-4821-8b5b-236c03a65c62) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 151.9707 121.8406 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + + (hierarchical_label "TX_EN" (shape input) (at 146.05 104.14 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2dd22d1a-da0f-4ad7-9fe0-4e4660dd650e) + ) + (hierarchical_label "ANT" (shape input) (at 146.05 111.76 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 360d26a6-0b8f-401f-8cf4-ca1034035ea9) + ) + (hierarchical_label "RFIO" (shape input) (at 146.05 107.95 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6443409e-5ee7-4a0b-bcf9-3070d9f8c150) + ) + (hierarchical_label "PSENSE" (shape input) (at 146.05 116.84 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b9731645-5c0e-4705-9804-28f53c0f99f2) + ) + (hierarchical_label "EN" (shape input) (at 146.05 100.33 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid de07aa50-e796-42e6-b6c8-f9ee01a83183) + ) +) diff --git a/sidloc.kicad_pro b/sidloc.kicad_pro index 8ace156..1593009 100644 --- a/sidloc.kicad_pro +++ b/sidloc.kicad_pro @@ -336,6 +336,22 @@ [ "130289e7-0df8-4a60-9e83-04222bd607b1", "PV" + ], + [ + "9ea3f9c6-0cc2-4494-8b77-3464b0c635c8", + "FPGA" + ], + [ + "79c89831-4746-4b3d-ad67-9c7b9b0fae72", + "Transceiver" + ], + [ + "8c0ec0f6-056a-4768-95d3-47657599318d", + "RFFE" + ], + [ + "f5c159c6-76d5-4ef9-a42b-1b678af64652", + "Antenna Deployment" ] ], "text_variables": {} diff --git a/sidloc.kicad_sch b/sidloc.kicad_sch index 80565de..be6681b 100644 --- a/sidloc.kicad_sch +++ b/sidloc.kicad_sch @@ -5,291 +5,1216 @@ (paper "A4") (title_block - (date "2022-03-10") + (title "SIDLOC") + (date "2022-03-16") (company "Libre Space Foundation") ) (lib_symbols + (symbol "Connector:Conn_Coaxial" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0.254 3.048 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_Coaxial" (id 1) (at 2.921 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" " ~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "BNC SMA SMB SMC LEMO coaxial connector CINCH RCA" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*BNC* *SMA* *SMB* *SMC* *Cinch* *LEMO*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_Coaxial_0_1" + (arc (start -1.778 -0.508) (mid 0.222 -1.808) (end 1.778 0) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 0) + (xy -0.508 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy 0 -1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 0 0) (radius 0.508) + (stroke (width 0.2032) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 1.778 0) (mid 0.2221 1.8083) (end -1.778 0.508) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Conn_Coaxial_1_1" + (pin passive line (at -5.08 0 0) (length 2.54) + (name "In" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 2.54) + (name "Ext" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x02" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x02" (id 1) (at 0 -5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x02_1_1" + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 1.27) (end 1.27 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x03" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x03" (id 1) (at 0 -5.08 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x03, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x03_1_1" + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 3.81) (end 1.27 -3.81) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x06" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x06" (id 1) (at 0 -10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x06_1_1" + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 1.27 -8.89) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) ) + (junction (at 176.53 71.12) (diameter 0) (color 0 0 0 0) + (uuid 7e77998b-2739-4246-9437-5155f55eed68) + ) + (junction (at 179.07 68.58) (diameter 0) (color 0 0 0 0) + (uuid a95e4dd6-792e-41fc-99bb-747bf0e6498c) + ) + (junction (at 181.61 66.04) (diameter 0) (color 0 0 0 0) + (uuid e3e9a696-46cb-46c0-bc5a-f554f3ed4f59) + ) - (no_connect (at 149.86 120.65) (uuid 26c0221c-c9e5-4781-8480-7a8897018a9f)) - (no_connect (at 149.86 102.87) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe19)) - (no_connect (at 149.86 105.41) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe1a)) - (no_connect (at 149.86 113.03) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe1b)) - (no_connect (at 149.86 115.57) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe1c)) - (no_connect (at 149.86 118.11) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe1d)) - (no_connect (at 149.86 124.46) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe1e)) - (no_connect (at 149.86 127) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe1f)) - (no_connect (at 173.99 114.3) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe20)) - (no_connect (at 173.99 111.76) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe21)) - (no_connect (at 173.99 107.95) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe22)) - (no_connect (at 173.99 105.41) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe23)) - (no_connect (at 173.99 102.87) (uuid 8b694e80-8ddf-4e31-9e7a-5e42c3cefe24)) - (no_connect (at 149.86 130.81) (uuid afd07c5e-4a8d-4b59-af90-4d52ba768727)) - (no_connect (at 173.99 119.38) (uuid afd07c5e-4a8d-4b59-af90-4d52ba768727)) - (no_connect (at 173.99 121.92) (uuid afd07c5e-4a8d-4b59-af90-4d52ba768727)) - (no_connect (at 173.99 124.46) (uuid afd07c5e-4a8d-4b59-af90-4d52ba768727)) - (no_connect (at 149.86 109.22) (uuid afd07c5e-4a8d-4b59-af90-4d52ba768727)) - (no_connect (at 105.41 33.02) (uuid eda0c7a3-91aa-447a-a915-485e0135ab38)) - (no_connect (at 105.41 35.56) (uuid eda0c7a3-91aa-447a-a915-485e0135ab39)) - (no_connect (at 105.41 38.1) (uuid eda0c7a3-91aa-447a-a915-485e0135ab3a)) - (no_connect (at 105.41 40.64) (uuid eda0c7a3-91aa-447a-a915-485e0135ab3b)) - (no_connect (at 105.41 43.18) (uuid eda0c7a3-91aa-447a-a915-485e0135ab3c)) - (no_connect (at 105.41 45.72) (uuid eda0c7a3-91aa-447a-a915-485e0135ab3d)) - (no_connect (at 105.41 48.26) (uuid eda0c7a3-91aa-447a-a915-485e0135ab3e)) - (no_connect (at 105.41 50.8) (uuid eda0c7a3-91aa-447a-a915-485e0135ab3f)) - (no_connect (at 105.41 53.34) (uuid eda0c7a3-91aa-447a-a915-485e0135ab40)) - (no_connect (at 105.41 55.88) (uuid eda0c7a3-91aa-447a-a915-485e0135ab41)) - (no_connect (at 105.41 58.42) (uuid eda0c7a3-91aa-447a-a915-485e0135ab42)) - (no_connect (at 105.41 60.96) (uuid eda0c7a3-91aa-447a-a915-485e0135ab43)) - (no_connect (at 105.41 63.5) (uuid eda0c7a3-91aa-447a-a915-485e0135ab44)) - (no_connect (at 105.41 66.04) (uuid eda0c7a3-91aa-447a-a915-485e0135ab45)) - (no_connect (at 105.41 68.58) (uuid eda0c7a3-91aa-447a-a915-485e0135ab46)) - (no_connect (at 105.41 71.12) (uuid eda0c7a3-91aa-447a-a915-485e0135ab47)) - (no_connect (at 105.41 73.66) (uuid eda0c7a3-91aa-447a-a915-485e0135ab48)) - (no_connect (at 67.31 71.12) (uuid eda0c7a3-91aa-447a-a915-485e0135ab49)) - (no_connect (at 67.31 68.58) (uuid eda0c7a3-91aa-447a-a915-485e0135ab4a)) - (no_connect (at 67.31 40.64) (uuid eda0c7a3-91aa-447a-a915-485e0135ab4b)) - (no_connect (at 67.31 38.1) (uuid eda0c7a3-91aa-447a-a915-485e0135ab4c)) - (no_connect (at 67.31 35.56) (uuid eda0c7a3-91aa-447a-a915-485e0135ab4d)) - (no_connect (at 67.31 33.02) (uuid eda0c7a3-91aa-447a-a915-485e0135ab4e)) - (no_connect (at 67.31 66.04) (uuid eda0c7a3-91aa-447a-a915-485e0135ab4f)) - (no_connect (at 67.31 63.5) (uuid eda0c7a3-91aa-447a-a915-485e0135ab50)) - (no_connect (at 67.31 60.96) (uuid eda0c7a3-91aa-447a-a915-485e0135ab51)) - (no_connect (at 67.31 58.42) (uuid eda0c7a3-91aa-447a-a915-485e0135ab52)) - (no_connect (at 67.31 55.88) (uuid eda0c7a3-91aa-447a-a915-485e0135ab53)) - (no_connect (at 67.31 53.34) (uuid eda0c7a3-91aa-447a-a915-485e0135ab54)) - (no_connect (at 67.31 50.8) (uuid eda0c7a3-91aa-447a-a915-485e0135ab55)) - (no_connect (at 67.31 48.26) (uuid eda0c7a3-91aa-447a-a915-485e0135ab56)) - (no_connect (at 67.31 45.72) (uuid eda0c7a3-91aa-447a-a915-485e0135ab57)) - (no_connect (at 67.31 43.18) (uuid eda0c7a3-91aa-447a-a915-485e0135ab58)) + (no_connect (at 118.11 67.31) (uuid d745e28d-5aa5-4553-a0ba-a88f3b1a5f6b)) + (no_connect (at 78.74 45.72) (uuid df5db653-9825-433b-9b88-ecfdf07d8b71)) + (no_connect (at 78.74 48.26) (uuid df5db653-9825-433b-9b88-ecfdf07d8b71)) + (no_connect (at 78.74 43.18) (uuid df5db653-9825-433b-9b88-ecfdf07d8b71)) + (no_connect (at 224.79 52.07) (uuid e1179d21-9da6-4391-a055-a686ece6c747)) + (no_connect (at 224.79 49.53) (uuid e1179d21-9da6-4391-a055-a686ece6c747)) + (no_connect (at 224.79 57.15) (uuid e1179d21-9da6-4391-a055-a686ece6c747)) + (no_connect (at 224.79 54.61) (uuid e1179d21-9da6-4391-a055-a686ece6c747)) + (no_connect (at 118.11 69.85) (uuid eda0c7a3-91aa-447a-a915-485e0135ab3c)) + (no_connect (at 118.11 72.39) (uuid eda0c7a3-91aa-447a-a915-485e0135ab3e)) + (no_connect (at 118.11 83.82) (uuid eda0c7a3-91aa-447a-a915-485e0135ab41)) + (no_connect (at 118.11 86.36) (uuid eda0c7a3-91aa-447a-a915-485e0135ab42)) + (no_connect (at 118.11 80.01) (uuid eda0c7a3-91aa-447a-a915-485e0135ab49)) + (no_connect (at 118.11 76.2) (uuid eda0c7a3-91aa-447a-a915-485e0135ab51)) - (sheet (at 149.86 100.33) (size 24.13 34.29) (fields_autoplaced) + (wire (pts (xy 185.42 83.82) (xy 179.07 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 00633b64-b54d-4cb0-9ae4-1539b7ed2c58) + ) + (wire (pts (xy 156.21 44.45) (xy 165.1 44.45)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0460eeea-bdcc-4171-be90-3fc5a4245b50) + ) + (wire (pts (xy 156.21 58.42) (xy 185.42 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0a64d7b0-badf-4ff5-9bdd-835374d65140) + ) + (wire (pts (xy 156.21 92.71) (xy 185.42 92.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0f99c99e-220e-42f5-974e-0687ba335df1) + ) + (wire (pts (xy 185.42 86.36) (xy 176.53 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 11568587-b992-48b1-abdd-eca15d381311) + ) + (wire (pts (xy 156.21 66.04) (xy 181.61 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 118a27fe-dc62-4323-a60f-0ff340e5c3f3) + ) + (wire (pts (xy 156.21 52.07) (xy 185.42 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1405b019-ffc0-4b51-8819-8d607f97397f) + ) + (wire (pts (xy 156.21 68.58) (xy 179.07 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 15ffd19b-beee-466b-86c4-5ef306b21238) + ) + (wire (pts (xy 177.8 54.61) (xy 185.42 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 16eef63d-64ea-4096-8024-2b49c0469edc) + ) + (wire (pts (xy 111.76 62.23) (xy 118.11 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1b302d15-386d-4e13-9205-9a1d1cf9e011) + ) + (wire (pts (xy 156.21 60.96) (xy 185.42 60.96)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1b57331b-e179-4c3b-8fa3-b3525e1b179e) + ) + (wire (pts (xy 156.21 97.79) (xy 185.42 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1b88281a-9c66-469e-a3ad-8eb989bce69a) + ) + (wire (pts (xy 203.2 74.93) (xy 203.2 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1c64f9ce-e30b-4978-b2d2-8bc0ba70819a) + ) + (wire (pts (xy 111.76 95.25) (xy 118.11 95.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 20540e7b-8f15-4bba-897f-079491897786) + ) + (wire (pts (xy 111.76 59.69) (xy 118.11 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2fb5d3af-ff98-4d39-8391-2c7a1a47e445) + ) + (wire (pts (xy 111.76 49.53) (xy 118.11 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3183f4ff-0c0d-4cd1-a696-213bc372f8e8) + ) + (wire (pts (xy 176.53 71.12) (xy 185.42 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5a934cf9-dac1-4f19-be96-dce276a8098e) + ) + (wire (pts (xy 200.66 74.93) (xy 200.66 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5bbb1d0a-00e0-4e4c-9db2-62074142e3e1) + ) + (wire (pts (xy 74.93 95.25) (xy 78.74 95.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 5e951365-d6e6-41d5-8048-2176e8f17d1c) + ) + (wire (pts (xy 205.74 74.93) (xy 205.74 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 62405211-5cfd-4ada-b4cb-b112ecfed197) + ) + (wire (pts (xy 156.21 119.38) (xy 158.75 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 65942cc3-0735-435c-909f-a39be2349fe5) + ) + (wire (pts (xy 156.21 54.61) (xy 165.1 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6a790164-d0ec-46e6-984a-b1f43888c9e3) + ) + (wire (pts (xy 156.21 63.5) (xy 185.42 63.5)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 72ef37a2-2236-4992-97c9-b5146f39521e) + ) + (wire (pts (xy 181.61 66.04) (xy 185.42 66.04)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7376a5e2-022f-4d13-8621-b0c078fe8504) + ) + (wire (pts (xy 220.98 74.93) (xy 220.98 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 76455cae-37a6-4935-88c3-7ea25a9cab15) + ) + (wire (pts (xy 176.53 71.12) (xy 176.53 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7b75ed5b-972c-4cd8-9f01-523da5cfa857) + ) + (wire (pts (xy 156.21 46.99) (xy 185.42 46.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7ea2471b-3846-4a24-8cf6-f9f8d10432dc) + ) + (wire (pts (xy 179.07 68.58) (xy 185.42 68.58)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 84438c42-04ce-4ee3-9bed-bf804cc962e7) + ) + (wire (pts (xy 78.74 57.15) (xy 74.93 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 891aa439-92b2-40c0-aa74-dec86b728680) + ) + (wire (pts (xy 156.21 110.49) (xy 185.42 110.49)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9569e574-25cc-497e-a190-f8db498f4bb5) + ) + (wire (pts (xy 135.89 100.33) (xy 135.89 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 95da53c0-5776-4c2c-a5bb-6c3f1cdfd289) + ) + (wire (pts (xy 185.42 81.28) (xy 181.61 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9ad9c585-1e92-405a-9553-c2ba6847941f) + ) + (wire (pts (xy 156.21 49.53) (xy 185.42 49.53)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9bcc93ba-7246-444b-b0ab-53aa897d1f3a) + ) + (wire (pts (xy 218.44 74.93) (xy 218.44 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9ee5c850-4cfb-4fff-ba91-82cc0e141513) + ) + (wire (pts (xy 138.43 100.33) (xy 138.43 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9ef4997d-4c70-45cd-a554-3324f0e12765) + ) + (wire (pts (xy 78.74 62.23) (xy 74.93 62.23)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a0adae9b-f007-4c1f-8252-2e8237e8ac03) + ) + (wire (pts (xy 111.76 54.61) (xy 118.11 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a515e706-1b89-4e3a-8e0d-e6f597057e3d) + ) + (wire (pts (xy 213.36 74.93) (xy 213.36 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a81a5692-e84f-430c-9583-c4d3358d7291) + ) + (wire (pts (xy 156.21 71.12) (xy 176.53 71.12)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid acafb7c7-9360-491e-8505-c4d48f2d6883) + ) + (wire (pts (xy 215.9 74.93) (xy 215.9 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid afbe3a01-0071-44de-9213-669ff4c7cf07) + ) + (wire (pts (xy 78.74 59.69) (xy 74.93 59.69)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b6de5db1-8748-4e50-9f6a-e264a95a2b0f) + ) + (wire (pts (xy 111.76 46.99) (xy 118.11 46.99)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c227d839-f786-4e58-9208-0742c5a33a22) + ) + (wire (pts (xy 156.21 95.25) (xy 185.42 95.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c86c8d86-4891-4bf4-972e-8f86070f824a) + ) + (wire (pts (xy 156.21 88.9) (xy 185.42 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cf745731-b855-43e5-a486-e0d7442243ec) + ) + (wire (pts (xy 78.74 52.07) (xy 74.93 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d1ed5d3d-d02b-4e11-97ed-930a7adc8719) + ) + (wire (pts (xy 179.07 68.58) (xy 179.07 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d96ce7fc-dbca-4266-b22e-24e502b7a466) + ) + (wire (pts (xy 181.61 66.04) (xy 181.61 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e1def7ad-10f7-4993-b521-cddf298626af) + ) + (wire (pts (xy 208.28 74.93) (xy 208.28 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e7fc3b1b-eb99-4f31-8d9e-7cd951ee7292) + ) + (wire (pts (xy 78.74 54.61) (xy 74.93 54.61)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ed4ff2a3-c85f-4803-aec8-42e6816f2671) + ) + (wire (pts (xy 111.76 92.71) (xy 118.11 92.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ef7b94ff-a43b-4a34-9514-9c3c707ef5af) + ) + (wire (pts (xy 140.97 100.33) (xy 140.97 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f0d6f75a-4dc3-42ea-94f7-5177883658a6) + ) + (wire (pts (xy 111.76 57.15) (xy 118.11 57.15)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f5718182-52f2-4a47-8239-6e595575c62b) + ) + + (label "PV+" (at 74.93 59.69 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0a0079cf-32a9-4bee-a1fb-e41928acc054) + ) + (label "JTDO" (at 123.19 171.45 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 104917a8-a159-42f9-a0c8-f5934aa337ad) + ) + (label "TBat" (at 74.93 57.15 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 146e5a93-66a0-4f6a-adb1-dccf7fbbcc6a) + ) + (label "JTDO" (at 177.8 54.61 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 25842ec6-575f-4742-8d28-3bf3a5d361c1) + ) + (label "BAT+" (at 74.93 95.25 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 28e43e41-d000-4881-a9bc-35b33091c005) + ) + (label "JTDI" (at 123.19 168.91 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 30dd8a3e-9c66-41da-9d00-b9d197ee15bb) + ) + (label "JTRST" (at 123.19 161.29 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 422027a6-dc58-4062-9217-546bcf0a5b44) + ) + (label "JTRST" (at 165.1 44.45 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 454271c1-5466-4e78-b76b-93c1e6414ed0) + ) + (label "JTMS" (at 161.29 49.53 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5de1978b-ff0b-4a21-84e9-1f1266ec34c4) + ) + (label "JTMS" (at 123.19 163.83 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5f246307-ee96-49ca-a1d0-e4786341a2e1) + ) + (label "PV+" (at 149.86 172.72 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7064f457-f5d1-4305-86ed-1595eae00efb) + ) + (label "JTCK" (at 123.19 166.37 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 79778d39-6a09-4750-a3de-d40b1bb9d4bd) + ) + (label "JTDI" (at 165.1 54.61 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9bd3f9c6-209e-46e0-8127-acfb92b11e4c) + ) + (label "BAT-" (at 149.86 163.83 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a0d5f4ac-4246-4b0d-9cae-8f90ffa73963) + ) + (label "VIN" (at 74.93 62.23 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a1367e4a-9a56-4443-9d5e-c4c8474b6a64) + ) + (label "JTCK" (at 161.29 52.07 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a9478c4d-8d86-41ec-9784-077de97f1b66) + ) + (label "BAT+" (at 74.93 52.07 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b1fadb03-c9dc-49d2-8938-f1fd9dbf6bc3) + ) + (label "VIN" (at 149.86 186.69 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b51aa26f-f3c1-4a0d-bc9a-0850523c3e25) + ) + (label "BAT-" (at 74.93 54.61 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d0d1baa7-2755-48a2-878d-9f57df43fd73) + ) + (label "TBat" (at 149.86 161.29 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d7801689-72a4-4bf5-a868-c7fc2118391c) + ) + (label "BAT+" (at 149.86 166.37 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dac01128-de63-4d37-b2ac-2a5ff440627f) + ) + + (symbol (lib_id "power:GND") (at 149.86 189.23 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 22d15d86-8908-47fe-9af1-0c062ea6434f) + (property "Reference" "#PWR0107" (id 0) (at 149.86 195.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 149.86 193.6734 0)) + (property "Footprint" "" (id 2) (at 149.86 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 149.86 189.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3b453018-ba4d-49a6-9508-c036783359a5)) + ) + + (symbol (lib_id "power:GND") (at 163.83 124.46 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 26ae6040-4f6f-4dbd-a5be-6913f38fc308) + (property "Reference" "#PWR0105" (id 0) (at 163.83 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 163.83 128.9034 0)) + (property "Footprint" "" (id 2) (at 163.83 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 163.83 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6d6f4a0d-a3d3-4b80-bc7d-c166c374c1f7)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x02") (at 154.94 172.72 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 42f80769-b28a-4811-88ba-102526f477c8) + (property "Reference" "J104" (id 0) (at 156.972 173.1553 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x02" (id 1) (at 156.972 175.6922 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 154.94 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 154.94 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 084a05db-9aca-4f21-ae3c-8653ee17fa16)) + (pin "2" (uuid 956bbd2e-5985-4681-959e-f727253e176b)) + ) + + (symbol (lib_id "power:GND") (at 149.86 175.26 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 5e67521a-7cb1-4678-8e7a-dc136b542602) + (property "Reference" "#PWR0106" (id 0) (at 149.86 181.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 149.86 179.7034 0)) + (property "Footprint" "" (id 2) (at 149.86 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 149.86 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d5bd95ac-70fb-45b8-adaf-10f0d139e3fb)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x02") (at 154.94 186.69 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 6f7333b6-005a-4540-809e-a2a1ba48bcbf) + (property "Reference" "J105" (id 0) (at 156.972 187.1253 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x02" (id 1) (at 156.972 189.6622 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 154.94 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 154.94 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9c5841d7-2587-4e27-82ad-f0d73a8ce78f)) + (pin "2" (uuid c9c898ca-033f-4eb2-bddc-aad9848a9bc7)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x03") (at 154.94 163.83 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 73bfb572-8a06-469a-97e1-92246a601e94) + (property "Reference" "J103" (id 0) (at 156.972 162.9953 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x03" (id 1) (at 156.972 165.5322 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 154.94 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 154.94 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 50926247-11cd-44e2-aa43-feeff2111293)) + (pin "2" (uuid f3257ca0-c5cc-4515-9412-466b4377404b)) + (pin "3" (uuid 8fa417ea-5447-4df9-9d80-f1521bc1d82f)) + ) + + (symbol (lib_id "power:GND") (at 123.19 173.99 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 9b3bb1a0-06c4-4a43-b260-6c8ea90205f3) + (property "Reference" "#PWR0104" (id 0) (at 123.19 180.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 123.19 178.4334 0)) + (property "Footprint" "" (id 2) (at 123.19 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 123.19 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 59e90474-7331-4f70-89f9-67c318cca069)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x06") (at 128.27 166.37 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 9cc92e23-1563-4765-8fee-6e1782280c04) + (property "Reference" "J101" (id 0) (at 130.302 166.8053 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_01x06" (id 1) (at 130.302 169.3422 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 128.27 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 128.27 166.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 68525067-bf10-481f-8e22-210e788ee174)) + (pin "2" (uuid 679e293a-ff66-4551-b49b-faefff104775)) + (pin "3" (uuid 654b633c-81e1-4459-a1a4-505c06f16f77)) + (pin "4" (uuid 140d003f-1313-4013-b644-98048d06d6bf)) + (pin "5" (uuid 286b47c7-87de-4d3b-975a-1cd4c5f4b3b2)) + (pin "6" (uuid 88bde738-40c5-41ed-9bd4-d1d8b0a572ef)) + ) + + (symbol (lib_id "Connector:Conn_Coaxial") (at 163.83 119.38 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid b83666b6-72c9-402d-8513-af40c195284c) + (property "Reference" "J102" (id 0) (at 166.37 118.8385 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Conn_Coaxial" (id 1) (at 166.37 121.3754 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (id 2) (at 163.83 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" " ~" (id 3) (at 163.83 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fd0c81db-8c3f-4908-bd34-061e61b5fd9b)) + (pin "2" (uuid fef0f441-0528-4495-a08a-6190a9db8ee7)) + ) + + (sheet (at 185.42 78.74) (size 39.37 34.29) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 79c89831-4746-4b3d-ad67-9c7b9b0fae72) + (property "Sheet name" "Transceiver" (id 0) (at 185.42 118.7954 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "transceiver.kicad_sch" (id 1) (at 185.42 114.3 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "TXDATAP" input (at 205.74 78.74 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4c1091d4-5196-4478-a9f3-04a4aae0bbdc) + ) + (pin "TXDATAN" input (at 208.28 78.74 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 114958e0-53e8-4648-9520-96ade9fb5883) + ) + (pin "TXCLOCKN" input (at 200.66 78.74 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 50ba28ac-4294-4a4a-ac7d-52f89cb143e4) + ) + (pin "TXCLOCKP" input (at 203.2 78.74 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 10f03a33-883a-4f56-a1af-4eb0b057a803) + ) + (pin "RXCLOCKP" input (at 215.9 78.74 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 560fd130-6735-409f-98a4-235acb8af8b7) + ) + (pin "RXCLOCKN" input (at 213.36 78.74 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9cf3e333-4320-412d-aeff-addd8b363998) + ) + (pin "RXDATAP" input (at 218.44 78.74 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d929764f-8550-4413-a4fe-8d708a5ca351) + ) + (pin "RXDATAN" input (at 220.98 78.74 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d243c7aa-67b9-4fd0-b9af-ebb1739957db) + ) + (pin "SPI_MOSI" input (at 185.42 86.36 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f03e5428-ca3d-4736-9610-36ccdcd7e251) + ) + (pin "SPI_CLK" input (at 185.42 81.28 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid cf7c3643-08a1-4f6f-9626-2d0bdcc0fef7) + ) + (pin "SPI_CS" input (at 185.42 88.9 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c1d51f25-0ff6-4322-90d8-14ad1e9a5228) + ) + (pin "SPI_MISO" input (at 185.42 83.82 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1dcfbe9d-56d2-4af8-bcd9-3eb1c8e8dcaf) + ) + (pin "IRQ" input (at 185.42 92.71 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c51a9299-7533-4a60-9258-c4ca2fcec197) + ) + (pin "RST" input (at 185.42 95.25 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bb1f8165-4f60-42a7-903e-a36dc0684c9c) + ) + (pin "RFIO" input (at 185.42 110.49 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid dc493a39-144e-4f16-8096-bd65618184b1) + ) + (pin "RF_EN" input (at 185.42 97.79 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 09256553-c6a0-4147-8d5f-1d8fa88da541) + ) + ) + + (sheet (at 118.11 105.41) (size 38.1 17.78) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 8c0ec0f6-056a-4768-95d3-47657599318d) + (property "Sheet name" "RFFE" (id 0) (at 118.11 128.27 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "rffe.kicad_sch" (id 1) (at 118.11 123.7746 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "EN" input (at 135.89 105.41 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ce6d3341-eec7-4439-ad1d-2367572a6841) + ) + (pin "TX_EN" input (at 138.43 105.41 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a43f783f-3b1d-460c-a13c-747762929c08) + ) + (pin "RFIO" input (at 156.21 110.49 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7c3c6e90-327a-4411-9984-4d15b03d1523) + ) + (pin "ANT" input (at 156.21 119.38 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 519d137a-9e85-4094-8a2b-12bac921f7cb) + ) + (pin "PSENSE" input (at 140.97 105.41 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 97f276c8-f64e-473d-a6a2-c85736f12ff6) + ) + ) + + (sheet (at 78.74 40.64) (size 33.02 24.13) (stroke (width 0.1524) (type solid) (color 0 0 0 0)) (fill (color 0 0 0 0.0000)) (uuid 95661826-cedc-4d00-8ee8-8834174483ba) - (property "Sheet name" "Power" (id 0) (at 149.86 99.6184 0) + (property "Sheet name" "Power" (id 0) (at 78.74 39.37 0) (effects (font (size 1.27 1.27)) (justify left bottom)) ) - (property "Sheet file" "power.kicad_sch" (id 1) (at 149.86 135.2046 0) + (property "Sheet file" "power.kicad_sch" (id 1) (at 78.74 35.56 0) (effects (font (size 1.27 1.27)) (justify left top)) ) - (pin "3V3_EN" input (at 149.86 102.87 180) - (effects (font (size 1.27 1.27)) (justify left)) + (pin "3V3_EN" input (at 111.76 49.53 0) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 6f8e1f45-5853-4e61-b1cb-3e49cd390418) ) - (pin "TBat" input (at 149.86 118.11 180) + (pin "TBat" input (at 78.74 57.15 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 55c7b6b2-fbbe-405a-83ad-82b17380808f) ) - (pin "SCL" input (at 149.86 124.46 180) - (effects (font (size 1.27 1.27)) (justify left)) + (pin "SCL" input (at 111.76 54.61 0) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 0515e25b-e074-4b91-ae0d-39419f8a6f2e) ) - (pin "BAT+" input (at 149.86 113.03 180) + (pin "BAT+" input (at 78.74 52.07 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid fb1e842e-d406-4598-83e2-ef8c3ca37ff2) ) - (pin "BAT-" input (at 149.86 115.57 180) + (pin "BAT-" input (at 78.74 54.61 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 544380e1-d202-4a85-9aad-6942a328937b) ) - (pin "2V5" output (at 173.99 105.41 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid b39890b0-cdf2-4019-b01a-c67d92920168) - ) - (pin "2V5_EN" input (at 149.86 105.41 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid f83d37b2-6cf8-43d7-88cf-73cd2adb8d9a) - ) - (pin "3V3" output (at 173.99 102.87 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 9cdafd5b-c1d1-4605-9066-7020b9778dea) - ) - (pin "3V3_PG" output (at 173.99 111.76 0) + (pin "3V3_PG" output (at 111.76 46.99 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 19b85d7f-f210-4758-8797-68370f710784) ) - (pin "SDA" bidirectional (at 149.86 127 180) - (effects (font (size 1.27 1.27)) (justify left)) + (pin "SDA" bidirectional (at 111.76 57.15 0) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 84154033-daf9-4945-bfb4-ada71a05988c) ) - (pin "1V1" output (at 173.99 107.95 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 56a4822a-592e-4cae-bf8a-a19009aa2047) - ) - (pin "BAT_ALERT" output (at 173.99 114.3 0) + (pin "BAT_ALERT" output (at 111.76 62.23 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 04424701-0b0b-4dbe-aa91-656bb41acc4b) ) - (pin "PV+" input (at 149.86 120.65 180) + (pin "PV+" input (at 78.74 59.69 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 1963504b-29c4-4345-aff1-6459c61e2f64) ) - (pin "CHG_CPLT" output (at 173.99 119.38 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "CHG_CPLT" output (at 78.74 43.18 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 131b445a-0ed6-46f4-8886-6be6feae07eb) ) - (pin "CHG" output (at 173.99 121.92 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "CHG" output (at 78.74 45.72 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid ed2a983b-3d2b-49ab-8ea5-f6240df89836) ) - (pin "CHG_TTE" output (at 173.99 124.46 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "CHG_TTE" output (at 78.74 48.26 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid c5442b41-ccb7-4e9f-a339-6a66ef9c75ee) ) - (pin "CHG_EN" input (at 149.86 130.81 180) - (effects (font (size 1.27 1.27)) (justify left)) + (pin "CHG_EN" input (at 111.76 59.69 0) + (effects (font (size 1.27 1.27)) (justify right)) (uuid d2bac4d7-c36d-4627-8330-b8056eabf52b) ) - (pin "VIN" input (at 149.86 109.22 180) + (pin "VIN" input (at 78.74 62.23 180) (effects (font (size 1.27 1.27)) (justify left)) - (uuid 2b083ded-97bd-4bfd-8b11-6971d1d06fd0) + (uuid 89e8860b-8c6d-4ca3-b75d-d074f3b52a1b) ) ) - (sheet (at 67.31 25.4) (size 38.1 50.8) (fields_autoplaced) + (sheet (at 185.42 40.64) (size 39.37 34.29) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 9ea3f9c6-0cc2-4494-8b77-3464b0c635c8) + (property "Sheet name" "FPGA" (id 0) (at 185.42 39.9284 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "fpga.kicad_sch" (id 1) (at 185.42 35.56 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "JTDO" input (at 185.42 54.61 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5c5a8605-c323-4369-94e2-3129dac1e728) + ) + (pin "JTMS" input (at 185.42 49.53 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 30fbc43f-28af-414a-84cb-42bcb84350ea) + ) + (pin "JTCK" input (at 185.42 52.07 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e83c4269-9407-48bf-89f4-158ad7d591fc) + ) + (pin "JTDI" input (at 185.42 46.99 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a3a0d289-1102-4c86-99f2-cae700ea40af) + ) + (pin "FPGA_DONE" input (at 185.42 58.42 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 665ffd13-563a-4dd8-8c05-3897792c4d69) + ) + (pin "FPGA_INIT" input (at 224.79 57.15 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ff65623e-f6a1-4377-b61a-523d0adfcb09) + ) + (pin "FPGA_PROG" input (at 224.79 54.61 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fc16cad4-4efc-4716-ba5f-48e0fc5f6e7a) + ) + (pin "FPGA_IO0" input (at 224.79 52.07 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1ed0d672-fd3a-4724-ab2a-a8bc98aab83f) + ) + (pin "FPGA_IO1" input (at 224.79 49.53 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8f07b0f7-397c-4538-89b9-5ec8d64d4ea8) + ) + (pin "SPI_MISO" input (at 185.42 68.58 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 08c6ebe9-3d13-4df7-b1a0-56df8e70b280) + ) + (pin "SPI_MOSI" input (at 185.42 71.12 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e27fac0a-adcb-4c37-a982-1d97fb6bc0ea) + ) + (pin "SPI_CLK" input (at 185.42 66.04 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 34fdec85-9eda-4dc3-9fdb-7e4ef516be11) + ) + (pin "SPI_CS" input (at 185.42 63.5 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid af422df2-dcc8-4158-b113-6030b37d1aac) + ) + (pin "TXCLOCKN" input (at 200.66 74.93 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8008b007-0b8c-4c59-bb3a-48f74b94456c) + ) + (pin "TXCLOCKP" input (at 203.2 74.93 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 15c53436-20df-48c5-8f53-c6a685e3825d) + ) + (pin "TXDATAP" input (at 205.74 74.93 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 53dcd298-b382-4d2b-be1f-e38c0256bd96) + ) + (pin "TXDATAN" input (at 208.28 74.93 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f171dd87-91b3-4075-9252-c0b4f8f17981) + ) + (pin "RXCLOCKN" input (at 213.36 74.93 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid add82dd0-e621-4680-abd9-6c213252e034) + ) + (pin "RXCLOCKP" input (at 215.9 74.93 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7901b654-59d2-47b6-8b80-ff7725c9dc68) + ) + (pin "RXDATAP" input (at 218.44 74.93 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 17119f17-f646-4aec-8650-1c44f1a376c7) + ) + (pin "RXDATAN" input (at 220.98 74.93 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f8aebbb2-e5db-4396-898f-a35ae6152a7e) + ) + (pin "FPGA_EN" input (at 185.42 60.96 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ab02e9ba-30e6-4fb8-99f4-1e88ddb9649c) + ) + ) + + (sheet (at 118.11 40.64) (size 38.1 59.69) (stroke (width 0.1524) (type solid) (color 0 0 0 0)) (fill (color 0 0 0 0.0000)) (uuid b854a395-bfc6-4140-9640-75d4f9296771) - (property "Sheet name" "MCU" (id 0) (at 67.31 24.6884 0) + (property "Sheet name" "MCU" (id 0) (at 118.11 39.9284 0) (effects (font (size 1.27 1.27)) (justify left bottom)) ) - (property "Sheet file" "MCU.kicad_sch" (id 1) (at 67.31 76.7846 0) + (property "Sheet file" "mcu.kicad_sch" (id 1) (at 118.11 35.56 0) (effects (font (size 1.27 1.27)) (justify left top)) ) - (pin "PA0" input (at 105.41 33.02 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid f7247476-1df7-4ffd-a6a4-b922438baab0) - ) - (pin "USART1_TX" input (at 105.41 43.18 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "USART1_TX" input (at 118.11 69.85 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 1a2cbb7d-a12b-4ce2-8cb5-723dbf106184) ) - (pin "SYS_JTDO-SWO" input (at 105.41 45.72 0) + (pin "SYS_JTDO-SWO" input (at 156.21 46.99 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 9907464b-5215-4823-8445-eacd75e14a05) ) - (pin "USART1_RX" input (at 105.41 48.26 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "USART1_RX" input (at 118.11 72.39 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 678a9f62-4714-47dc-8bde-7fa5616d214e) ) - (pin "PB5" input (at 105.41 50.8 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid c34fae71-3b03-4714-804c-754a104d3244) - ) - (pin "SYS_JTRST" input (at 105.41 53.34 0) + (pin "SYS_JTRST" input (at 156.21 44.45 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 2a6288c2-7e68-4b6a-9c28-ef206f17ab74) ) - (pin "PB8" input (at 105.41 63.5 0) + (pin "SYS_JTMS-SWDIO" input (at 156.21 49.53 0) (effects (font (size 1.27 1.27)) (justify right)) - (uuid 43fddfc6-154c-4fef-abd6-9dc256d9f028) - ) - (pin "PB11" input (at 105.41 66.04 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 9809d68c-9d20-4156-9130-5d89bd55c44f) - ) - (pin "PB9" input (at 105.41 71.12 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 9e80019a-1cba-47e3-ab91-ca5b297aecc0) - ) - (pin "PB13" input (at 67.31 33.02 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 7e771610-b939-46e9-b4ba-d583d22aad23) - ) - (pin "USART2_TX" input (at 67.31 40.64 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 7175eefa-fb27-43e2-80c3-e137bda68853) - ) - (pin "SPI1_SCK" input (at 67.31 43.18 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid f1654590-2d5a-4007-8817-a52fd01723c5) - ) - (pin "USART2_RX" input (at 67.31 45.72 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid c3897589-3648-454c-9d3d-6573d66fd15c) - ) - (pin "PA4" input (at 67.31 48.26 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid d6c8c09d-b4a1-49f9-a663-80f4dd93faad) - ) - (pin "SYS_JTMS-SWDIO" input (at 67.31 50.8 180) - (effects (font (size 1.27 1.27)) (justify left)) (uuid e087e4b6-4fa4-4da0-a192-035c5bb1b897) ) - (pin "SYS_JTCK-SWCLK" input (at 67.31 53.34 180) - (effects (font (size 1.27 1.27)) (justify left)) + (pin "SYS_JTCK-SWCLK" input (at 156.21 52.07 0) + (effects (font (size 1.27 1.27)) (justify right)) (uuid 45b3bfdd-f079-4daf-b0a0-44fc508278b0) ) - (pin "SYS_JTDI" input (at 67.31 55.88 180) - (effects (font (size 1.27 1.27)) (justify left)) + (pin "SYS_JTDI" input (at 156.21 54.61 0) + (effects (font (size 1.27 1.27)) (justify right)) (uuid e77ad7d2-5bb7-4094-ae31-9a87bfcc1d08) ) - (pin "I2C1_SCL" input (at 67.31 58.42 180) + (pin "I2C1_SCL" input (at 118.11 54.61 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 7339917c-75f7-4f67-85ec-e2d58d5a2ac3) ) - (pin "RCC_MCO" input (at 67.31 60.96 180) + (pin "RCC_MCO" input (at 118.11 76.2 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 61b2fc64-9f20-4d1a-a956-f4cd431249c7) ) - (pin "SPI1_MISO" input (at 67.31 63.5 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid af422a5f-7c49-4665-b72f-14a8b722fbee) - ) - (pin "SPI1_MOSI" input (at 67.31 66.04 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid 8c2b11a3-e11d-4c2b-9138-21e7991b9a39) - ) - (pin "I2C1_SDA" input (at 67.31 68.58 180) + (pin "I2C1_SDA" input (at 118.11 57.15 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 6979ffd1-2215-4d6f-8fe1-8ac2cb730830) ) - (pin "NRST" input (at 67.31 71.12 180) + (pin "NRST" input (at 118.11 80.01 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 1b700e94-4df3-4939-b435-05b8b4e247c6) ) - (pin "SPI2_NSS" input (at 67.31 35.56 180) + (pin "CAN1_H" input (at 118.11 83.82 180) (effects (font (size 1.27 1.27)) (justify left)) - (uuid 8f7a1c62-e866-4146-b861-025badda20d3) - ) - (pin "SPI1_NSS" input (at 67.31 38.1 180) - (effects (font (size 1.27 1.27)) (justify left)) - (uuid ce0183bd-126a-4a79-9acb-8b4bfe630f86) - ) - (pin "SPI2_SCK" input (at 105.41 35.56 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid b8a5679e-0824-4fd4-bd37-515f1c75fd73) - ) - (pin "SPI2_MOSI" input (at 105.41 68.58 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 10dfac46-9067-4751-a078-8b3b3c2c59bd) - ) - (pin "SPI2_MISO" input (at 105.41 73.66 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid d894c276-c90b-442d-90d1-b5f4470e8e44) - ) - (pin "PH3" input (at 105.41 38.1 0) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 9782fa1e-ea9c-41f2-8699-1de67be3d3d8) - ) - (pin "CAN1_H" input (at 105.41 55.88 0) - (effects (font (size 1.27 1.27)) (justify right)) (uuid 3c64c0c2-040c-4144-96d2-cbd22fa23a80) ) - (pin "CAN1_L" input (at 105.41 58.42 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "CAN1_L" input (at 118.11 86.36 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 390cc5c2-ebe6-4359-8261-16c687ba4bb0) ) - (pin "PH1" input (at 105.41 40.64 0) - (effects (font (size 1.27 1.27)) (justify right)) + (pin "PH1" input (at 118.11 67.31 180) + (effects (font (size 1.27 1.27)) (justify left)) (uuid 12ad44c0-e4a9-4167-a24c-1851676a3c1a) ) - (pin "PA1" input (at 105.41 60.96 0) + (pin "SPI_CS2" input (at 156.21 88.9 0) (effects (font (size 1.27 1.27)) (justify right)) - (uuid da997f28-6420-4828-8770-45069f821b4c) + (uuid c03e654a-3bdd-4b6a-821b-22b56fba0b7a) + ) + (pin "RFFE_EN" input (at 135.89 100.33 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e64146a6-6ad2-403d-bf83-34dd78a47eb3) + ) + (pin "PSU_EN" input (at 118.11 49.53 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 313a02b4-60d2-4751-89d0-818831b14d03) + ) + (pin "TRX_IRQ" input (at 156.21 92.71 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e08662d0-df5c-4859-bd6e-11e8d4cb9e19) + ) + (pin "ANT_SEN" input (at 118.11 92.71 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4b8bbaa4-5c0a-4b8a-8624-89060bdb1e6a) + ) + (pin "FPGA_DONE" input (at 156.21 58.42 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b6960b84-82d7-4614-bc56-d7abaab78658) + ) + (pin "RFFE_TX_EN" input (at 138.43 100.33 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e7640c2f-9c50-4c84-927f-32cb21048f3d) + ) + (pin "PSU_CHG_EN" input (at 118.11 59.69 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5fefeba3-55d5-4c02-8864-2883b3ad2f0d) + ) + (pin "TRX_EN" input (at 156.21 97.79 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 90156f31-c4c4-4660-a2fa-7e6b5584c7d0) + ) + (pin "FPGA_EN" input (at 156.21 60.96 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid aa21dc7d-c4e1-4034-8138-5e7d3365dd3d) + ) + (pin "PSU_BAT_ALERT" input (at 118.11 62.23 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid df64a68c-1a69-4151-ae50-9c963e9376ce) + ) + (pin "RFFE_PSENSE" input (at 140.97 100.33 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 545eb7b9-192a-481a-a35e-e278e9000523) + ) + (pin "PSU_PG" input (at 118.11 46.99 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e50ff44e-d667-4ae9-b942-29599a92eea3) + ) + (pin "TRX_RST" input (at 156.21 95.25 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1042b2e6-888d-4a7d-976b-34928332fb0f) + ) + (pin "ANT_DEP" input (at 118.11 95.25 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b74c2162-716d-492b-8021-46751ce700ea) + ) + (pin "SPI_CS1" input (at 156.21 63.5 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ee6930c3-deda-4ca9-aa48-692818908df3) + ) + (pin "SPI_MISO" input (at 156.21 68.58 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b930eb09-d6a9-4680-8e02-660744021d12) + ) + (pin "SPI_SCK" input (at 156.21 66.04 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 01c0b2cb-a094-431e-af2b-ece42181c9bb) + ) + (pin "SPI_MOSI" input (at 156.21 71.12 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 72b09d3f-51b1-4f29-aa20-f42bcf341b36) + ) + ) + + (sheet (at 78.74 87.63) (size 33.02 12.7) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid f5c159c6-76d5-4ef9-a42b-1b678af64652) + (property "Sheet name" "Antenna Deployment" (id 0) (at 78.74 87.0454 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "antenna_deployment.kicad_sch" (id 1) (at 78.74 82.55 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "ANT_DEP" input (at 111.76 95.25 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0d5a3631-a0b7-4540-af95-02b43fe504d2) + ) + (pin "ANT_SEN" input (at 111.76 92.71 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f31981f2-0f2b-4e4c-96b3-41cdc4e26e9e) + ) + (pin "VBAT" input (at 78.74 95.25 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e838c240-c2d7-4b74-b37f-346147199146) ) ) @@ -299,6 +1224,10 @@ (path "/b854a395-bfc6-4140-9640-75d4f9296771/944d30b6-5e0b-4808-bb6d-659b661a85f4" (page "3")) (path "/95661826-cedc-4d00-8ee8-8834174483ba" (page "4")) (path "/95661826-cedc-4d00-8ee8-8834174483ba/130289e7-0df8-4a60-9e83-04222bd607b1" (page "5")) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8" (page "6")) + (path "/79c89831-4746-4b3d-ad67-9c7b9b0fae72" (page "7")) + (path "/8c0ec0f6-056a-4768-95d3-47657599318d" (page "8")) + (path "/f5c159c6-76d5-4ef9-a42b-1b678af64652" (page "9")) ) (symbol_instances @@ -320,35 +1249,11 @@ (path "/95661826-cedc-4d00-8ee8-8834174483ba/d05c2e9b-fed9-40a6-95f0-0bdb185c557c" (reference "#FLG06") (unit 1) (value "PWR_FLAG") (footprint "") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/66840164-b4fb-464b-9fe3-901efd9d9028" - (reference "#FLG07") (unit 1) (value "PWR_FLAG") (footprint "") - ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/ac09a156-5ad9-407c-aa3c-6171c73dacb7" - (reference "#FLG08") (unit 1) (value "PWR_FLAG") (footprint "") - ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/130289e7-0df8-4a60-9e83-04222bd607b1/4c9a03d6-4bc7-4609-8c1f-925be5c591ae" (reference "#FLG09") (unit 1) (value "PWR_FLAG") (footprint "") ) - (path "/b854a395-bfc6-4140-9640-75d4f9296771/16a98427-fe38-4150-bda5-d34f46303260" - (reference "#PWR01") (unit 1) (value "GND") (footprint "") - ) - (path "/b854a395-bfc6-4140-9640-75d4f9296771/033022b3-11f9-419e-a78e-1c7d6e6cd45c" - (reference "#PWR02") (unit 1) (value "GND") (footprint "") - ) - (path "/b854a395-bfc6-4140-9640-75d4f9296771/16165d61-b1b6-457b-9112-2a44bf6cf509" - (reference "#PWR03") (unit 1) (value "GND") (footprint "") - ) - (path "/b854a395-bfc6-4140-9640-75d4f9296771/65668de9-cfad-47e9-af62-b93c6c71733d" - (reference "#PWR04") (unit 1) (value "GND") (footprint "") - ) - (path "/b854a395-bfc6-4140-9640-75d4f9296771/cebeb080-fe76-40f5-9900-c193c3760ee3" - (reference "#PWR05") (unit 1) (value "GND") (footprint "") - ) - (path "/b854a395-bfc6-4140-9640-75d4f9296771/0c9b9dd2-dc58-4681-9b25-b9c3d020fbdc" - (reference "#PWR06") (unit 1) (value "GND") (footprint "") - ) - (path "/b854a395-bfc6-4140-9640-75d4f9296771/8fa7f583-bdf9-41f1-bccf-e829f12287c2" - (reference "#PWR07") (unit 1) (value "GND") (footprint "") + (path "/95661826-cedc-4d00-8ee8-8834174483ba/407b2348-9c3b-4a62-bb95-f6f2c5cca5be" + (reference "#FLG0101") (unit 1) (value "PWR_FLAG") (footprint "") ) (path "/b854a395-bfc6-4140-9640-75d4f9296771/944d30b6-5e0b-4808-bb6d-659b661a85f4/fb44bc6f-655f-4fed-9337-965cc659085d" (reference "#PWR08") (unit 1) (value "GND") (footprint "") @@ -356,21 +1261,9 @@ (path "/b854a395-bfc6-4140-9640-75d4f9296771/944d30b6-5e0b-4808-bb6d-659b661a85f4/4aeb8ad4-5539-4a14-9cc0-5c9dbe991940" (reference "#PWR09") (unit 1) (value "GND") (footprint "") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/ff56911f-d2ad-4c8d-bb5b-8cab84e4dc7b" - (reference "#PWR010") (unit 1) (value "GND") (footprint "") - ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/482c438e-4ea2-4fb2-8af0-cbe876a82774" - (reference "#PWR011") (unit 1) (value "GND") (footprint "") - ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/f44cc12b-d849-465e-b25f-d0a28f2fda25" (reference "#PWR012") (unit 1) (value "GND") (footprint "") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/ee3385a4-1512-40b5-a4fd-f520e9f48b2a" - (reference "#PWR013") (unit 1) (value "GND") (footprint "") - ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/502ee865-096f-4431-ae75-b43638e9d46f" - (reference "#PWR014") (unit 1) (value "GND") (footprint "") - ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/835235ec-51b5-46b5-9e93-300a95df7a63" (reference "#PWR015") (unit 1) (value "GND") (footprint "") ) @@ -422,14 +1315,59 @@ (path "/95661826-cedc-4d00-8ee8-8834174483ba/130289e7-0df8-4a60-9e83-04222bd607b1/e0e548a1-16b4-499b-9693-ed921759a5bd" (reference "#PWR031") (unit 1) (value "GND") (footprint "") ) + (path "/95661826-cedc-4d00-8ee8-8834174483ba/f3081cfb-3b07-4602-8a40-9b582446a168" + (reference "#PWR0101") (unit 1) (value "GND") (footprint "") + ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/49347ebd-cf41-4df5-b324-a6d7d428e0d5" - (reference "#PWR?") (unit 1) (value "GND") (footprint "") + (reference "#PWR0102") (unit 1) (value "GND") (footprint "") ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/7064686c-7a4c-4775-8aaa-ba6e2dcd076e" - (reference "#PWR?") (unit 1) (value "GND") (footprint "") + (reference "#PWR0103") (unit 1) (value "GND") (footprint "") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/f3081cfb-3b07-4602-8a40-9b582446a168" - (reference "#PWR?") (unit 1) (value "GND") (footprint "") + (path "/9b3bb1a0-06c4-4a43-b260-6c8ea90205f3" + (reference "#PWR0104") (unit 1) (value "GND") (footprint "") + ) + (path "/26ae6040-4f6f-4dbd-a5be-6913f38fc308" + (reference "#PWR0105") (unit 1) (value "GND") (footprint "") + ) + (path "/5e67521a-7cb1-4678-8e7a-dc136b542602" + (reference "#PWR0106") (unit 1) (value "GND") (footprint "") + ) + (path "/22d15d86-8908-47fe-9af1-0c062ea6434f" + (reference "#PWR0107") (unit 1) (value "GND") (footprint "") + ) + (path "/b854a395-bfc6-4140-9640-75d4f9296771/8fa7f583-bdf9-41f1-bccf-e829f12287c2" + (reference "#PWR0108") (unit 1) (value "GND") (footprint "") + ) + (path "/b854a395-bfc6-4140-9640-75d4f9296771/cebeb080-fe76-40f5-9900-c193c3760ee3" + (reference "#PWR0109") (unit 1) (value "GND") (footprint "") + ) + (path "/b854a395-bfc6-4140-9640-75d4f9296771/65668de9-cfad-47e9-af62-b93c6c71733d" + (reference "#PWR0110") (unit 1) (value "GND") (footprint "") + ) + (path "/b854a395-bfc6-4140-9640-75d4f9296771/033022b3-11f9-419e-a78e-1c7d6e6cd45c" + (reference "#PWR0111") (unit 1) (value "GND") (footprint "") + ) + (path "/b854a395-bfc6-4140-9640-75d4f9296771/0c9b9dd2-dc58-4681-9b25-b9c3d020fbdc" + (reference "#PWR0112") (unit 1) (value "GND") (footprint "") + ) + (path "/b854a395-bfc6-4140-9640-75d4f9296771/16165d61-b1b6-457b-9112-2a44bf6cf509" + (reference "#PWR0113") (unit 1) (value "GND") (footprint "") + ) + (path "/b854a395-bfc6-4140-9640-75d4f9296771/16a98427-fe38-4150-bda5-d34f46303260" + (reference "#PWR0114") (unit 1) (value "GND") (footprint "") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/4004404b-e2b8-448d-93be-db7b815eb8ea" + (reference "#PWR0601") (unit 1) (value "GND") (footprint "") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/71745e63-8a2d-43c0-b886-88b4e7684b4d" + (reference "#PWR0602") (unit 1) (value "GND") (footprint "") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/134b6c82-f06d-4e48-8376-571f1ccc539b" + (reference "#PWR0603") (unit 1) (value "GND") (footprint "") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/90bfb9f6-990b-417c-b62f-6c55347152d5" + (reference "#PWR0604") (unit 1) (value "GND") (footprint "") ) (path "/b854a395-bfc6-4140-9640-75d4f9296771/ed704165-075f-492a-9af0-394fd6c41d9e" (reference "BT1") (unit 1) (value "3V") (footprint "Battery:BatteryHolder_Keystone_3000_1x12mm") @@ -458,24 +1396,12 @@ (path "/b854a395-bfc6-4140-9640-75d4f9296771/944d30b6-5e0b-4808-bb6d-659b661a85f4/6d328161-4bb4-4bf3-9671-419e0e1055e1" (reference "C8") (unit 1) (value "100nF") (footprint "Capacitor_SMD:C_0603_1608Metric") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/10f13d83-0cb6-4c7e-89a5-5d4bebe7feae" - (reference "C9") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") - ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/b9cf6d8e-3ab7-4d68-9e32-fe2997d8bf79" - (reference "C10") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") - ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/3acd7c65-7870-4a20-b5e9-5fe2d2e12541" - (reference "C11") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0402_1005Metric") - ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/87619933-9171-41ee-bb7a-b30c71ffcc31" (reference "C12") (unit 1) (value "470n") (footprint "Capacitor_SMD:C_0603_1608Metric") ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/b6b08ebc-6a46-4e08-a10d-0d715f3d47a1" (reference "C13") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0603_1608Metric") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/a3ec847d-3d0d-484c-b72c-27d0f6c31bdc" - (reference "C14") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") - ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/95750746-df56-441a-983f-57ed794f59e8" (reference "C15") (unit 1) (value "10uF") (footprint "Capacitor_SMD:C_1206_3216Metric") ) @@ -492,10 +1418,22 @@ (reference "C19") (unit 1) (value "1nF") (footprint "Capacitor_SMD:C_0603_1608Metric") ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/120536d5-d8cf-4850-bdc0-5584120110bf" - (reference "C?") (unit 1) (value "470nF") (footprint "") + (reference "C401") (unit 1) (value "470nF") (footprint "") ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/340d7c2e-4066-4760-9a44-ff271e1cd2c3" - (reference "C?") (unit 1) (value "100nF") (footprint "") + (reference "C402") (unit 1) (value "100nF") (footprint "") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/826b3684-0aaf-4d56-938c-d8522876d987" + (reference "C601") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/269592f6-73b1-4b1c-871d-902c6ddb331e" + (reference "C602") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/e8967658-1509-4091-b1b7-f02e82175599" + (reference "C603") (unit 1) (value "0.1uF") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/5a7d81ef-3106-46bc-bf93-4c3735bcf3a6" + (reference "C604") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/130289e7-0df8-4a60-9e83-04222bd607b1/9452127c-f8ef-4ce5-9563-7c5c9ea14279" (reference "CF1") (unit 1) (value "1uF") (footprint "Capacitor_SMD:C_0603_1608Metric") @@ -521,6 +1459,21 @@ (path "/b854a395-bfc6-4140-9640-75d4f9296771/82a47c8c-2764-4c77-8b86-e6b0f871e602" (reference "FB1") (unit 1) (value "FerriteBead") (footprint "") ) + (path "/9cc92e23-1563-4765-8fee-6e1782280c04" + (reference "J101") (unit 1) (value "Conn_01x06") (footprint "") + ) + (path "/b83666b6-72c9-402d-8513-af40c195284c" + (reference "J102") (unit 1) (value "Conn_Coaxial") (footprint "") + ) + (path "/73bfb572-8a06-469a-97e1-92246a601e94" + (reference "J103") (unit 1) (value "Conn_01x03") (footprint "") + ) + (path "/42f80769-b28a-4811-88ba-102526f477c8" + (reference "J104") (unit 1) (value "Conn_01x02") (footprint "") + ) + (path "/6f7333b6-005a-4540-809e-a2a1ba48bcbf" + (reference "J105") (unit 1) (value "Conn_01x02") (footprint "") + ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/3ce8c44a-189d-4168-8bde-edf59925de50" (reference "JP1") (unit 1) (value "FSEL") (footprint "Jumper:SolderJumper-3_P1.3mm_Bridged2Bar12_Pad1.0x1.5mm_NumberLabels") ) @@ -573,7 +1526,7 @@ (reference "R12") (unit 1) (value "422k_0.1%") (footprint "Resistor_SMD:R_0603_1608Metric") ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/11e3aace-f4b7-4211-a7ec-5e61fd67abf9" - (reference "R?") (unit 1) (value "R") (footprint "") + (reference "R401") (unit 1) (value "R") (footprint "") ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/130289e7-0df8-4a60-9e83-04222bd607b1/a9817ae7-99bc-4087-813f-ea419a8ee279" (reference "RF1") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric") @@ -593,11 +1546,11 @@ (path "/b854a395-bfc6-4140-9640-75d4f9296771/944d30b6-5e0b-4808-bb6d-659b661a85f4/63278a04-5391-47af-8e9c-aacd06c072ec" (reference "SW1") (unit 1) (value "SW_DIP_x02") (footprint "") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/d8a40e66-9193-4ea0-bb90-6adefac55e31" - (reference "TP1") (unit 1) (value "2V5") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/ed458ecf-7791-4b18-8171-9d663e01cf31" + (reference "TP601") (unit 1) (value "2V5") (footprint "TestPoint:TestPoint_Pad_D1.0mm") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/27187da2-842b-4650-8ef5-75cf942cd526" - (reference "TP2") (unit 1) (value "1V1") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/4c61af3f-0c80-4a99-abda-a42b957edee4" + (reference "TP602") (unit 1) (value "1V1") (footprint "TestPoint:TestPoint_Pad_D1.0mm") ) (path "/b854a395-bfc6-4140-9640-75d4f9296771/a9d66172-b21f-445f-bff6-1303cec8590d" (reference "U1") (unit 1) (value "TCXO-2016-26") (footprint "lsf-kicad-lib:Oscillator_SMD_ECS_TXO-2016-xx-xxx-4Pin_2x1.6mm") @@ -608,15 +1561,9 @@ (path "/b854a395-bfc6-4140-9640-75d4f9296771/944d30b6-5e0b-4808-bb6d-659b661a85f4/48337418-0f90-48ff-bc55-e7a942085219" (reference "U3") (unit 1) (value "TCAN334") (footprint "") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/ca163c16-8630-44d5-a8f5-50863cc068d8" - (reference "U4") (unit 1) (value "MIC5504-2.5YM5") (footprint "Package_TO_SOT_SMD:SOT-23-5") - ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/58828737-3275-43e6-95de-e03a3a403c13" (reference "U5") (unit 1) (value "MAX17261METD+") (footprint "lsf-kicad-lib:TDFN-14-1EP_3x3mm_P0.4mm_EP1.7x2.3mm") ) - (path "/95661826-cedc-4d00-8ee8-8834174483ba/8dcaa4b5-d223-4aef-bbbf-252d5d617d3b" - (reference "U6") (unit 1) (value "TCR2EE11") (footprint "Package_TO_SOT_SMD:SOT-553") - ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/04f94801-db9a-4bbd-a2f2-57ba2d0baf3b" (reference "U7") (unit 1) (value "TPS62147") (footprint "") ) @@ -624,7 +1571,13 @@ (reference "U8") (unit 1) (value "SPV1040") (footprint "Package_SO:TSSOP-8_4.4x3mm_P0.65mm") ) (path "/95661826-cedc-4d00-8ee8-8834174483ba/cf6ec273-dc3a-4eb5-9ff5-f8371f35f598" - (reference "U?") (unit 1) (value "BQ24012") (footprint "Package_SON:VSON-10-1EP_3x3mm_P0.5mm_EP1.65x2.4mm_ThermalVias") + (reference "U401") (unit 1) (value "BQ24012") (footprint "Package_SON:VSON-10-1EP_3x3mm_P0.5mm_EP1.65x2.4mm_ThermalVias") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/f7c00956-c1b2-4e4d-be99-36597a53c09b" + (reference "U601") (unit 1) (value "MIC5504-2.5YM5") (footprint "Package_TO_SOT_SMD:SOT-23-5") + ) + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/8c1b3a56-e648-45f3-ba1b-2f5b7c94c3e9" + (reference "U602") (unit 1) (value "TCR2EE11") (footprint "Package_TO_SOT_SMD:SOT-553") ) (path "/b854a395-bfc6-4140-9640-75d4f9296771/eebf5403-86a2-4743-9513-948b7e2957f2" (reference "Y1") (unit 1) (value "32768Hz") (footprint "Crystal:Crystal_SMD_3215-2Pin_3.2x1.5mm") diff --git a/transceiver.kicad_sch b/transceiver.kicad_sch new file mode 100644 index 0000000..524ccf6 --- /dev/null +++ b/transceiver.kicad_sch @@ -0,0 +1,101 @@ +(kicad_sch (version 20211123) (generator eeschema) + + (uuid db4ad42d-093f-4c7d-bd00-570fed85257e) + + (paper "A4") + + (lib_symbols + ) + + + (no_connect (at 147.32 148.59) (uuid 004817b3-7e95-421c-aa35-72c19c9891b8)) + (no_connect (at 148.59 135.89) (uuid 03ea74a1-ca2b-4963-8fa5-88834a0ddfe9)) + (no_connect (at 171.45 129.54) (uuid 0b343e33-7aed-455e-9e43-2706a28873eb)) + (no_connect (at 157.48 116.84) (uuid 2bc8754a-6e9b-4b6c-bc5a-cf6887a9035b)) + (no_connect (at 147.32 153.67) (uuid 5fa83776-66bd-45ec-ae1f-d12c65b88769)) + (no_connect (at 148.59 133.35) (uuid 5fe31540-2bf6-4d6e-bbf2-fa7f444b47e9)) + (no_connect (at 139.7 109.22) (uuid 7c84592c-8962-428a-8171-b06974b2f6b8)) + (no_connect (at 139.7 114.3) (uuid 8645344e-ca85-4d41-9ed8-88144fd50d18)) + (no_connect (at 157.48 109.22) (uuid 8879380c-9f24-4bec-8238-193bcc0b298a)) + (no_connect (at 148.59 128.27) (uuid 9565095b-935a-488f-b795-a6c50aa4fde6)) + (no_connect (at 147.32 146.05) (uuid a08c6557-c24c-4019-a819-6e78f855d6f9)) + (no_connect (at 139.7 116.84) (uuid b6242b5f-64ac-4df2-9230-54805ec4de1e)) + (no_connect (at 157.48 111.76) (uuid c2395c8c-bf15-4348-9ba5-ba333ec5400b)) + (no_connect (at 139.7 111.76) (uuid d0e4eb9b-e04c-4548-bc17-138fa39f0990)) + (no_connect (at 157.48 114.3) (uuid d9561769-706b-430b-a8c6-4c788893784a)) + (no_connect (at 147.32 142.24) (uuid dcea2052-cdab-4032-b25b-383b80d98ec9)) + (no_connect (at 148.59 130.81) (uuid f79baef5-d5a8-4991-91c7-d384e1f43e99)) + + (global_label "3V3" (shape input) (at 171.45 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f0c0bfdf-cc70-4864-90b7-d7961dc658c4) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 177.3707 129.4606 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + + (hierarchical_label "RF_EN" (shape input) (at 147.32 142.24 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 014568f3-d563-4490-ae3c-6fa1e1058a5a) + ) + (hierarchical_label "TXDATAP" (shape input) (at 139.7 114.3 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 160b059a-670e-4984-9944-fe4aece2fba6) + ) + (hierarchical_label "SPI_CS" (shape input) (at 148.59 135.89 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 35029850-6504-4b22-a24f-a4ba9cdf2727) + ) + (hierarchical_label "RXDATAP" (shape input) (at 157.48 114.3 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3c6be33d-8144-4732-bcc8-f5e4372adff7) + ) + (hierarchical_label "RXCLOCKP" (shape input) (at 157.48 111.76 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 44e29e83-b226-4abc-9bd0-c73de3969652) + ) + (hierarchical_label "RXDATAN" (shape input) (at 157.48 116.84 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 46a76afe-38f5-48af-a813-697ef0ef8368) + ) + (hierarchical_label "SPI_MISO" (shape input) (at 148.59 128.27 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5c8d6bbe-2388-4ab5-9eb9-b615577a605e) + ) + (hierarchical_label "SPI_CLK" (shape input) (at 148.59 133.35 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 621149a2-f520-407e-af71-b0e6f7b204a3) + ) + (hierarchical_label "RST" (shape input) (at 147.32 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 806ba19e-6fd3-43ff-9ba5-1089479294bb) + ) + (hierarchical_label "TXDATAN" (shape input) (at 139.7 116.84 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 93a536f4-42e8-4269-abc0-17dbd549b81b) + ) + (hierarchical_label "TXCLOCKN" (shape input) (at 139.7 109.22 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9a4d01cd-968f-41d8-920c-804b59974dcf) + ) + (hierarchical_label "IRQ" (shape input) (at 147.32 146.05 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bf75b030-7871-47b1-a74e-f7a2d634f51f) + ) + (hierarchical_label "TXCLOCKP" (shape input) (at 139.7 111.76 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c56e4231-b869-4dea-b8be-d061fe72583e) + ) + (hierarchical_label "RFIO" (shape input) (at 147.32 153.67 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c629be6b-851d-406d-8dcb-62d2d758ee1b) + ) + (hierarchical_label "SPI_MOSI" (shape input) (at 148.59 130.81 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c88c2ec7-e594-4f19-9ab5-faab103539a2) + ) + (hierarchical_label "RXCLOCKN" (shape input) (at 157.48 109.22 0) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d7d956e3-a44d-4f27-a9d6-05e6d522ed56) + ) +)