From 276cab3b1854a588b17c91a7656dea5b07224552 Mon Sep 17 00:00:00 2001 From: Agis Zisimatos Date: Sat, 30 Apr 2022 14:21:21 +0300 Subject: [PATCH] Fix connections in RN601 that helps in routing Signed-off-by: Agis Zisimatos --- fpga.kicad_sch | 509 +++++++++++++++++++++++++++-------------------- sidloc.kicad_sch | 2 +- 2 files changed, 299 insertions(+), 212 deletions(-) diff --git a/fpga.kicad_sch b/fpga.kicad_sch index 41415a5..2bff4fa 100644 --- a/fpga.kicad_sch +++ b/fpga.kicad_sch @@ -2660,7 +2660,7 @@ (junction (at 302.26 29.21) (diameter 0) (color 0 0 0 0) (uuid 015d8a49-10ae-4e19-9e70-b72c1af03708) ) - (junction (at 369.57 139.7) (diameter 0) (color 0 0 0 0) + (junction (at 377.19 139.7) (diameter 0) (color 0 0 0 0) (uuid 035ad69b-101d-4a07-9109-768fd21ef62f) ) (junction (at 73.66 184.15) (diameter 0) (color 0 0 0 0) @@ -2726,7 +2726,7 @@ (junction (at 215.9 146.05) (diameter 0) (color 0 0 0 0) (uuid 34eb0e40-eaf8-4d53-ba24-748a373328b7) ) - (junction (at 384.81 128.27) (diameter 0) (color 0 0 0 0) + (junction (at 392.43 128.27) (diameter 0) (color 0 0 0 0) (uuid 350f2937-97c5-4530-9649-824c0ec67b0c) ) (junction (at 165.1 68.58) (diameter 0) (color 0 0 0 0) @@ -2765,7 +2765,7 @@ (junction (at 102.87 82.55) (diameter 0) (color 0 0 0 0) (uuid 538903bc-0e34-43ea-ac93-d986d7208b3d) ) - (junction (at 369.57 128.27) (diameter 0) (color 0 0 0 0) + (junction (at 377.19 128.27) (diameter 0) (color 0 0 0 0) (uuid 543f7343-5964-4d0e-a5b3-eb451edd1b7a) ) (junction (at 218.44 55.88) (diameter 0) (color 0 0 0 0) @@ -2810,7 +2810,7 @@ (junction (at 248.92 180.34) (diameter 0) (color 0 0 0 0) (uuid 811cd69f-4dd8-47a7-a303-0ca36cee248f) ) - (junction (at 377.19 128.27) (diameter 0) (color 0 0 0 0) + (junction (at 384.81 128.27) (diameter 0) (color 0 0 0 0) (uuid 81eda675-3429-4f2a-91bf-06dac2ffb0f0) ) (junction (at 109.22 137.16) (diameter 0) (color 0 0 0 0) @@ -3007,91 +3007,91 @@ (no_connect (at 109.22 179.07) (uuid 2f4cdc79-030c-4165-a024-a7947d41e2fa)) (no_connect (at 109.22 186.69) (uuid 39110e7c-525d-47be-9ab5-6a9c05261880)) (no_connect (at 43.18 224.79) (uuid 39507dbc-d91c-4e92-a89e-2259b7223eca)) - (no_connect (at 372.11 151.13) (uuid 3d444eaa-2910-41fd-82a5-3c7378a235eb)) + (no_connect (at 379.73 151.13) (uuid 3d444eaa-2910-41fd-82a5-3c7378a235eb)) (no_connect (at 43.18 158.75) (uuid 3edb3167-e45b-49a4-a46e-0c3b66c8794c)) (no_connect (at 290.83 208.28) (uuid 44d1208f-3dab-4b1f-a881-04d6516bd03a)) (no_connect (at 109.22 204.47) (uuid 455a9825-82bc-46b0-baf3-83bd68bc3f1a)) (no_connect (at 43.18 168.91) (uuid 469a0a3e-9e33-4569-a802-6a64c4500215)) - (no_connect (at 372.11 196.85) (uuid 46a55358-869e-448a-9422-f86b68b54aab)) - (no_connect (at 372.11 212.09) (uuid 4a62429e-02c3-4ecf-bc90-f1d28c069c07)) - (no_connect (at 372.11 229.87) (uuid 4f494835-fc7e-4e89-a5b4-d48a8e11a5fd)) + (no_connect (at 379.73 196.85) (uuid 46a55358-869e-448a-9422-f86b68b54aab)) + (no_connect (at 379.73 212.09) (uuid 4a62429e-02c3-4ecf-bc90-f1d28c069c07)) + (no_connect (at 379.73 229.87) (uuid 4f494835-fc7e-4e89-a5b4-d48a8e11a5fd)) (no_connect (at 109.22 191.77) (uuid 532043c0-7b92-4671-9c04-5a267bef43fd)) (no_connect (at 379.73 54.61) (uuid 56fc22f7-a334-4b7b-b893-802d803a388f)) - (no_connect (at 372.11 176.53) (uuid 5b2c0840-bba1-4448-9d77-41960066645a)) + (no_connect (at 379.73 176.53) (uuid 5b2c0840-bba1-4448-9d77-41960066645a)) (no_connect (at 346.71 54.61) (uuid 5bd02ad9-616e-438d-8947-657b03c8fdf8)) (no_connect (at 241.3 182.88) (uuid 5cef7952-c5d9-4b64-8654-67db4abb18c3)) (no_connect (at 109.22 199.39) (uuid 5d18cfa1-cd09-446a-be68-ac5734008cfe)) (no_connect (at 290.83 185.42) (uuid 5f980097-c3af-4712-b759-d76150575b23)) (no_connect (at 109.22 189.23) (uuid 61a081bd-8501-47d3-bd39-7d1a0c21cff0)) - (no_connect (at 372.11 171.45) (uuid 65074c18-deab-4aca-88a4-72ce1634165f)) + (no_connect (at 379.73 171.45) (uuid 65074c18-deab-4aca-88a4-72ce1634165f)) (no_connect (at 364.49 54.61) (uuid 650df6eb-3cb5-452a-8dff-7e9860a6c5b1)) (no_connect (at 43.18 156.21) (uuid 65ec770c-f207-4c98-84df-4fface0e5735)) (no_connect (at 334.01 54.61) (uuid 660f8cb0-4756-4d03-a17a-91deb7529503)) - (no_connect (at 372.11 217.17) (uuid 663bbef1-fd08-4c8a-a945-7054cdc2f2c9)) - (no_connect (at 372.11 184.15) (uuid 66ede1ef-7c1c-4ff2-82ca-7cf73655f228)) - (no_connect (at 372.11 158.75) (uuid 74a55201-e234-43d3-8a5a-339255480e2f)) + (no_connect (at 379.73 217.17) (uuid 663bbef1-fd08-4c8a-a945-7054cdc2f2c9)) + (no_connect (at 379.73 184.15) (uuid 66ede1ef-7c1c-4ff2-82ca-7cf73655f228)) + (no_connect (at 379.73 158.75) (uuid 74a55201-e234-43d3-8a5a-339255480e2f)) (no_connect (at 290.83 182.88) (uuid 74de4f60-8e78-40c1-a3fb-3b6879fff038)) (no_connect (at 43.18 227.33) (uuid 7a9ccfdf-f203-42e3-a246-c7952ed42301)) (no_connect (at 109.22 194.31) (uuid 7c2c06b3-79e4-4293-931d-2fa96c3a5eb8)) (no_connect (at 392.43 54.61) (uuid 7e4f8b88-6c4b-4ba5-bc05-ae0251584d83)) - (no_connect (at 372.11 168.91) (uuid 8094617f-b5b0-409e-aa0c-941eeca59e40)) + (no_connect (at 379.73 168.91) (uuid 8094617f-b5b0-409e-aa0c-941eeca59e40)) (no_connect (at 43.18 163.83) (uuid 8511434d-1111-416b-b59e-7f7fab3537d6)) (no_connect (at 43.18 161.29) (uuid 878ae374-4f73-4474-bde8-ea148af29188)) (no_connect (at 384.81 54.61) (uuid 879cafa2-6b51-4ef1-aceb-a656f504a7db)) - (no_connect (at 372.11 186.69) (uuid 8a7d86a7-dba0-4a8f-96f6-d016c3c4232e)) + (no_connect (at 379.73 186.69) (uuid 8a7d86a7-dba0-4a8f-96f6-d016c3c4232e)) (no_connect (at 290.83 205.74) (uuid 8fd6b421-fd42-4b9c-9ec5-7fe6ad287c38)) (no_connect (at 382.27 54.61) (uuid 935be522-7bfc-4865-ac3c-5ec78d38615f)) (no_connect (at 43.18 171.45) (uuid 952ad99e-d3ed-4ada-99ce-e2c704402aab)) (no_connect (at 367.03 54.61) (uuid 9675bcba-4001-4c4f-af07-32a85229f5c2)) - (no_connect (at 372.11 214.63) (uuid 98cf457e-3f0c-4c64-8586-1a923812d044)) - (no_connect (at 372.11 207.01) (uuid 9ad7ef5f-b3cc-4cac-a233-227d5724471d)) + (no_connect (at 379.73 214.63) (uuid 98cf457e-3f0c-4c64-8586-1a923812d044)) + (no_connect (at 379.73 207.01) (uuid 9ad7ef5f-b3cc-4cac-a233-227d5724471d)) (no_connect (at 109.22 173.99) (uuid 9eb7170b-3da1-457a-910a-997869bded1a)) (no_connect (at 43.18 148.59) (uuid 9ec70d98-75ce-4ab7-9e1f-60ef736cb193)) - (no_connect (at 372.11 156.21) (uuid 9fcde7a3-81fe-42a1-8d2d-31bba7370bf3)) + (no_connect (at 379.73 156.21) (uuid 9fcde7a3-81fe-42a1-8d2d-31bba7370bf3)) (no_connect (at 109.22 171.45) (uuid a00238f5-72d6-40e7-b73e-3ffd08ceee7e)) (no_connect (at 109.22 196.85) (uuid a0a81c39-62ab-483b-92e8-438842cf19fc)) (no_connect (at 336.55 54.61) (uuid a1ea9e06-ed8a-4942-82a7-221567c6c412)) (no_connect (at 377.19 54.61) (uuid a4413f7c-ef15-4c3e-8922-4bd0bfe13b42)) (no_connect (at 109.22 181.61) (uuid a4c425eb-bed1-45ee-b82e-843c4bdddef4)) (no_connect (at 109.22 151.13) (uuid a4c425eb-bed1-45ee-b82e-843c4bdddef5)) - (no_connect (at 372.11 163.83) (uuid a59a5b67-5d6d-445f-b030-665df087f717)) + (no_connect (at 379.73 163.83) (uuid a59a5b67-5d6d-445f-b030-665df087f717)) (no_connect (at 43.18 166.37) (uuid a5f92b36-b8f4-4a10-90da-5695567ef3ec)) - (no_connect (at 372.11 219.71) (uuid a8d64fcc-84cb-4645-a06d-f5d6c0614188)) - (no_connect (at 372.11 224.79) (uuid ac2f3eb5-9193-4a6c-bab6-d1de91fe5122)) + (no_connect (at 379.73 219.71) (uuid a8d64fcc-84cb-4645-a06d-f5d6c0614188)) + (no_connect (at 379.73 224.79) (uuid ac2f3eb5-9193-4a6c-bab6-d1de91fe5122)) (no_connect (at 109.22 158.75) (uuid b1011abf-258e-4cab-a44d-332b4651c3f5)) - (no_connect (at 372.11 191.77) (uuid b386f7b1-7713-411b-947f-189405a3093e)) + (no_connect (at 379.73 191.77) (uuid b386f7b1-7713-411b-947f-189405a3093e)) (no_connect (at 389.89 54.61) (uuid bd77d4da-2421-46eb-9c83-3023827bf9fd)) (no_connect (at 290.83 190.5) (uuid be7de968-33ef-4f91-8b12-f0d3a2050b4c)) - (no_connect (at 372.11 166.37) (uuid c09de27f-2e2f-46f1-8191-7276776e19c7)) - (no_connect (at 372.11 199.39) (uuid c15b4b76-532a-4591-a2d0-e41ee73af402)) - (no_connect (at 372.11 161.29) (uuid c2995412-0125-46b5-84e5-f87417db8fb5)) + (no_connect (at 379.73 166.37) (uuid c09de27f-2e2f-46f1-8191-7276776e19c7)) + (no_connect (at 379.73 199.39) (uuid c15b4b76-532a-4591-a2d0-e41ee73af402)) + (no_connect (at 379.73 161.29) (uuid c2995412-0125-46b5-84e5-f87417db8fb5)) (no_connect (at 109.22 168.91) (uuid c5674969-13d8-40d2-99ca-df9adcd5445d)) (no_connect (at 109.22 201.93) (uuid c6c4013d-3700-4ed7-9261-acfb9c8eeaa7)) - (no_connect (at 372.11 204.47) (uuid ca537b4c-1b97-49ee-ac71-ec3ea5a88d0c)) + (no_connect (at 379.73 204.47) (uuid ca537b4c-1b97-49ee-ac71-ec3ea5a88d0c)) (no_connect (at 351.79 54.61) (uuid cc3d9289-a007-4614-9162-46212988df32)) (no_connect (at 109.22 163.83) (uuid cdd6a1ea-c980-432f-ae87-d2e371cec527)) (no_connect (at 344.17 54.61) (uuid cf536c01-1fe3-48e2-9066-91e69081eba0)) (no_connect (at 43.18 196.85) (uuid d2a1dfde-6514-44a0-868d-aeae5393d2b9)) (no_connect (at 290.83 213.36) (uuid d5984c9f-d7d6-4880-9ccf-8cd0f0739db2)) (no_connect (at 43.18 173.99) (uuid d6e25b57-4f73-4a9e-96e1-2afe3109a444)) - (no_connect (at 372.11 173.99) (uuid db7f66fa-4eeb-4477-9368-10ee1e745e49)) + (no_connect (at 379.73 173.99) (uuid db7f66fa-4eeb-4477-9368-10ee1e745e49)) (no_connect (at 290.83 187.96) (uuid dc7a756e-09f4-4e54-84a6-91b102dbd2de)) (no_connect (at 290.83 193.04) (uuid e08881a7-ea9c-46a7-bd76-7c0809750c00)) (no_connect (at 369.57 54.61) (uuid e10e3766-2759-42b0-b3de-138cc1d6adc1)) (no_connect (at 43.18 153.67) (uuid e3394ed9-1c21-4e5c-bcea-5e971d8a7cf7)) (no_connect (at 331.47 54.61) (uuid e450052a-bfe2-4805-9f49-d5cbba0a81b5)) - (no_connect (at 372.11 179.07) (uuid ebce05d2-d89b-420c-b351-2bdc186b5cc8)) + (no_connect (at 379.73 179.07) (uuid ebce05d2-d89b-420c-b351-2bdc186b5cc8)) (no_connect (at 290.83 215.9) (uuid ede8c8b8-df9b-4773-bea5-99eda1e39312)) (no_connect (at 109.22 217.17) (uuid f3e9a2b1-bb51-4ad1-8079-e7d788831e63)) (no_connect (at 43.18 194.31) (uuid f5ab30bd-8933-4d63-90be-5a945f7dcc81)) (no_connect (at 43.18 207.01) (uuid f62364e9-06e8-4878-a9f6-c9fb562d98a1)) - (no_connect (at 372.11 222.25) (uuid f6abe4b5-a725-425c-bde0-f172f1b01087)) + (no_connect (at 379.73 222.25) (uuid f6abe4b5-a725-425c-bde0-f172f1b01087)) (no_connect (at 43.18 186.69) (uuid f76de2de-56c9-4236-84f0-f5b7fc28e87a)) - (no_connect (at 372.11 189.23) (uuid f7b46ddd-60e6-4ceb-b8cd-b319edc41f8b)) - (no_connect (at 372.11 181.61) (uuid f863b979-0ed1-4bed-b8dc-87f193e58019)) - (no_connect (at 372.11 227.33) (uuid fc6d13b8-4885-45b8-9095-429151115516)) + (no_connect (at 379.73 189.23) (uuid f7b46ddd-60e6-4ceb-b8cd-b319edc41f8b)) + (no_connect (at 379.73 181.61) (uuid f863b979-0ed1-4bed-b8dc-87f193e58019)) + (no_connect (at 379.73 227.33) (uuid fc6d13b8-4885-45b8-9095-429151115516)) (no_connect (at 109.22 176.53) (uuid fdcc1581-186c-4c19-b4e5-135f15587d55)) - (no_connect (at 372.11 209.55) (uuid fed14461-b754-4d56-b372-78112a52b7d2)) + (no_connect (at 379.73 209.55) (uuid fed14461-b754-4d56-b372-78112a52b7d2)) (bus_entry (at 280.67 198.12) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -3101,6 +3101,14 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 23b51995-fe23-4d97-a066-5f4147818f87) ) + (bus_entry (at 368.3 161.29) (size 2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 23d29486-fd80-4571-af10-ad6f9266d827) + ) + (bus_entry (at 373.38 201.93) (size -2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2f931a28-e0b2-4a89-85b0-3d360525bf32) + ) (bus_entry (at 218.44 185.42) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 665861bb-3c85-43bc-9dc7-9c72219f317e) @@ -3113,14 +3121,30 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 785dddf9-3cf0-4e85-8632-1a314bbc34b2) ) + (bus_entry (at 373.38 194.31) (size -2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7bcf5f23-8816-4e86-a543-bdcbf1309591) + ) + (bus_entry (at 368.3 156.21) (size 2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 84614438-927c-41d5-954b-3899dc3d12a3) + ) (bus_entry (at 280.67 193.04) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8ce16e23-12f4-46be-951f-c046f1bd3479) ) + (bus_entry (at 373.38 153.67) (size -2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a6a4ab88-9453-4ebb-8420-d9e16061878f) + ) (bus_entry (at 280.67 195.58) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ae509cef-b2d4-4701-bd39-f4d022ead86d) ) + (bus_entry (at 368.3 158.75) (size 2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c89e46f3-05f3-468c-ae89-cb705f2b3d05) + ) (bus_entry (at 166.37 190.5) (size 2.54 -2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cc888799-c0b4-4c79-87f8-a4a62f5d81d0) @@ -3137,6 +3161,14 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid dbd2f208-6165-4a10-afab-a9eb941486a4) ) + (bus_entry (at 373.38 148.59) (size -2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e1533dd6-e3a9-4ad6-81a1-514609157553) + ) + (bus_entry (at 368.3 163.83) (size 2.54 2.54) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f49ea77d-fd20-4b50-b316-135c41acdc2d) + ) (bus_entry (at 280.67 165.1) (size 2.54 2.54) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fe7571cb-0e18-4010-9006-7209546aee72) @@ -3150,10 +3182,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 007a2dd6-2206-470e-8f15-efafeccccf3a) ) - (wire (pts (xy 363.22 173.99) (xy 359.41 173.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 00a638d1-bfc5-4dcd-89df-2ce5adeca0c0) - ) (wire (pts (xy 303.53 44.45) (xy 302.26 44.45)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 011126bb-ae88-4d25-a1da-ede22aa5529c) @@ -3178,7 +3206,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0408e91b-4ff1-40da-a555-48aeb55678a3) ) - (wire (pts (xy 372.11 214.63) (xy 374.65 214.63)) + (wire (pts (xy 379.73 214.63) (xy 382.27 214.63)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0422b8be-b7e9-4092-bbe7-f2c8abaef015) ) @@ -3186,7 +3214,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 04819c47-78fd-4566-9d0c-a7917acb0fc6) ) - (wire (pts (xy 372.11 199.39) (xy 374.65 199.39)) + (wire (pts (xy 379.73 199.39) (xy 382.27 199.39)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 04b9a540-7d4d-4ad2-863b-3a5d6df98179) ) @@ -3202,7 +3230,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0527e7fb-d830-428e-8edd-12be002c6816) ) - (wire (pts (xy 372.11 151.13) (xy 374.65 151.13)) + (wire (pts (xy 379.73 151.13) (xy 382.27 151.13)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 05776c4a-5b31-4c40-afad-88d6cb5cde6d) ) @@ -3234,7 +3262,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 09033c23-8490-4e5c-b958-1ecb82045303) ) - (wire (pts (xy 303.53 120.65) (xy 384.81 120.65)) + (wire (pts (xy 303.53 120.65) (xy 392.43 120.65)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0914d190-1573-471d-ab25-0ea5e2a38869) ) @@ -3306,7 +3334,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 143caf48-02aa-40bb-904f-1fdda2322c30) ) - (wire (pts (xy 372.11 156.21) (xy 374.65 156.21)) + (wire (pts (xy 379.73 156.21) (xy 382.27 156.21)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1469b781-0171-4e77-8e40-950a380a0db2) ) @@ -3354,7 +3382,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 169f2fce-06b2-4370-9ee8-71cc966a0ae4) ) - (wire (pts (xy 369.57 139.7) (xy 377.19 139.7)) + (wire (pts (xy 377.19 139.7) (xy 384.81 139.7)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 16e46076-8f9d-4ebd-bf49-0a9dc8d6dd9d) ) @@ -3398,7 +3426,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1c340051-8d5d-4832-bfff-179f25b7fb14) ) - (wire (pts (xy 369.57 137.16) (xy 369.57 139.7)) + (wire (pts (xy 377.19 137.16) (xy 377.19 139.7)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1d86f5af-88dc-408e-80e8-ca48d230d327) ) @@ -3459,7 +3487,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 25b91674-6cdc-4e85-9683-f2d721928286) ) - (wire (pts (xy 372.11 173.99) (xy 374.65 173.99)) + (wire (pts (xy 379.73 173.99) (xy 382.27 173.99)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 25d17274-579f-43cd-b033-0dfc60fadaa6) ) @@ -3515,7 +3543,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2ea1e0d9-cb79-4460-b10a-931437e13953) ) - (wire (pts (xy 372.11 181.61) (xy 374.65 181.61)) + (wire (pts (xy 379.73 181.61) (xy 382.27 181.61)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2f5ffca5-7ca3-4a7c-a43e-39ca4e22b3ec) ) @@ -3543,7 +3571,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 30c64bd1-d89e-42a7-b959-422182fb6ca2) ) - (wire (pts (xy 372.11 217.17) (xy 374.65 217.17)) + (wire (pts (xy 379.73 217.17) (xy 382.27 217.17)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 30d7dd56-3982-4143-80a4-c6cf0b990aed) ) @@ -3607,7 +3635,12 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 38d015cd-79e4-435d-8f9c-82a5a84f5024) ) - (wire (pts (xy 361.95 128.27) (xy 361.95 129.54)) + (bus (pts (xy 370.84 166.37) (xy 370.84 196.85)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 396ce3f9-60e0-4c9a-ac03-6b0176ecc75c) + ) + + (wire (pts (xy 369.57 128.27) (xy 369.57 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3c8e8f2a-31bf-4356-848e-06b433cb1f15) ) @@ -3712,11 +3745,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4603a4f0-8cd6-47ce-83a8-696ac92373e1) ) - (wire (pts (xy 372.11 189.23) (xy 374.65 189.23)) + (wire (pts (xy 379.73 189.23) (xy 382.27 189.23)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 46285d87-fb6c-4147-82ea-c12c502e85f4) ) - (wire (pts (xy 377.19 128.27) (xy 369.57 128.27)) + (wire (pts (xy 384.81 128.27) (xy 377.19 128.27)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 46617ce2-1265-4f59-a0fc-6e8dc47f28ac) ) @@ -3790,7 +3823,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4ebf0ab5-6cd6-4fcd-aa91-b4f2346fdc15) ) - (wire (pts (xy 361.95 139.7) (xy 369.57 139.7)) + (wire (pts (xy 369.57 139.7) (xy 377.19 139.7)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4f3caac6-8798-4c66-8e38-45e276777cbe) ) @@ -3838,6 +3871,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5487b281-7b20-4e21-8a23-7df20f611934) ) + (wire (pts (xy 373.38 153.67) (xy 382.27 153.67)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 552fdcf9-00a6-4d96-ad8b-ee11dd6b40ba) + ) (wire (pts (xy 218.44 69.85) (xy 218.44 66.04)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5566834d-67d2-45e3-bb95-fbf81b3273c9) @@ -3890,7 +3927,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 59adb660-f4b2-4ff3-a423-43169f594816) ) - (wire (pts (xy 372.11 191.77) (xy 374.65 191.77)) + (wire (pts (xy 379.73 191.77) (xy 382.27 191.77)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 59b3c92b-2f7a-418e-a6a8-feef963ea53a) ) @@ -3902,7 +3939,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5b7aa15c-6c44-4548-8b06-dac6257d27a2) ) - (wire (pts (xy 372.11 184.15) (xy 374.65 184.15)) + (wire (pts (xy 379.73 184.15) (xy 382.27 184.15)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5c988bb4-5bd0-44d5-8fdd-025abb49e96f) ) @@ -3914,7 +3951,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5d475b3a-0581-49d3-90f8-b991a8b968d2) ) - (wire (pts (xy 372.11 158.75) (xy 374.65 158.75)) + (wire (pts (xy 379.73 158.75) (xy 382.27 158.75)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 5dc4b8de-b131-4dde-86ee-2543703add29) ) @@ -3958,7 +3995,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 626de61c-fd2c-4ed8-be34-f5e3c02f904b) ) - (wire (pts (xy 372.11 179.07) (xy 374.65 179.07)) + (wire (pts (xy 379.73 179.07) (xy 382.27 179.07)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 63522093-6007-455b-bcfb-0455dad5bb20) ) @@ -3966,10 +4003,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 635ce9ad-59fe-4645-a08f-f7ca6ddaf730) ) - (wire (pts (xy 363.22 176.53) (xy 359.41 176.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6362c3cc-e01b-4427-9541-b95a28035bc3) - ) (wire (pts (xy 43.18 217.17) (xy 45.72 217.17)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 640af0e2-6f44-4a8d-81c1-8f609be63480) @@ -3982,6 +4015,14 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 648110e5-13ed-4171-930c-735d3b2c55c1) ) + (wire (pts (xy 358.14 158.75) (xy 368.3 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 64b09736-c965-46f5-a0b6-3fde12e9ebf8) + ) + (wire (pts (xy 358.14 163.83) (xy 368.3 163.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 65966a42-92c8-403a-8407-1aa2c2649663) + ) (wire (pts (xy 109.22 199.39) (xy 111.76 199.39)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 65f878e8-ada0-4cae-9fbe-889c143f16e8) @@ -3990,9 +4031,9 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 663758ff-83a5-409b-a7ee-13329ebb1ee8) ) - (wire (pts (xy 359.41 171.45) (xy 360.68 171.45)) + (wire (pts (xy 373.38 194.31) (xy 382.27 194.31)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 67bba064-96d9-4baa-8fab-30fc7ce1572a) + (uuid 6659c74d-8a5f-4734-9796-ca3dd5e53550) ) (wire (pts (xy 72.39 63.5) (xy 71.12 63.5)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -4026,10 +4067,14 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6c393341-5407-478c-8d2b-570805b6cabb) ) - (wire (pts (xy 372.11 161.29) (xy 374.65 161.29)) + (wire (pts (xy 379.73 161.29) (xy 382.27 161.29)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6c923d86-3313-40f5-82f1-7a4c80ea7b54) ) + (wire (pts (xy 345.44 163.83) (xy 347.98 163.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6cf42c4b-b849-4b0b-b9e2-614040f699ad) + ) (wire (pts (xy 109.22 166.37) (xy 111.76 166.37)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6d2191e4-1d00-49f1-aa75-bc900ac20065) @@ -4038,7 +4083,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6d58bce0-dfe6-4988-b478-181cc7c3eb26) ) - (wire (pts (xy 372.11 163.83) (xy 374.65 163.83)) + (wire (pts (xy 379.73 163.83) (xy 382.27 163.83)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6e489758-93dc-4054-9518-8f6c5f815d50) ) @@ -4098,7 +4143,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7641f242-279d-4500-93d3-ff3ca7cf16ab) ) - (wire (pts (xy 372.11 227.33) (xy 374.65 227.33)) + (wire (pts (xy 379.73 227.33) (xy 382.27 227.33)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 766d1085-c102-4975-bb07-629f8c649505) ) @@ -4151,6 +4196,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7d5830cb-91a8-4d0a-8118-a2e1f6a38b44) ) + (bus (pts (xy 370.84 196.85) (xy 370.84 204.47)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7d78f976-5890-49bf-85e4-e8e02295fe2e) + ) + (wire (pts (xy 226.06 69.85) (xy 226.06 66.04)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7dbc7f51-a6a9-4b52-bea3-7a49cf650ae4) @@ -4167,7 +4217,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7efc50a4-d928-445a-be6f-eb4ef5ced761) ) - (wire (pts (xy 372.11 224.79) (xy 374.65 224.79)) + (wire (pts (xy 379.73 224.79) (xy 382.27 224.79)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8081ad8c-46cb-4c14-9ef1-7babf6fd87b1) ) @@ -4175,10 +4225,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 827a1eaf-2007-4a26-a335-771c51d4dcb9) ) - (wire (pts (xy 346.71 173.99) (xy 349.25 173.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 8352612b-9841-4768-8025-642b4c1df7a1) - ) (wire (pts (xy 179.07 165.1) (xy 179.07 161.29)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 836fc39a-a574-442f-9bd7-feb913401958) @@ -4207,6 +4253,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8820efd9-5436-453e-b940-c76dc78c9f06) ) + (bus (pts (xy 370.84 158.75) (xy 370.84 161.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 888aa89c-3239-4b25-ab3d-d5eac6aa1a1f) + ) + (wire (pts (xy 226.06 81.28) (xy 226.06 83.82)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 88c827eb-6090-4b65-94fd-a2f5b866175c) @@ -4220,7 +4271,11 @@ (uuid 892d2c64-da19-438f-95d7-10fd71260c9f) ) - (wire (pts (xy 372.11 168.91) (xy 374.65 168.91)) + (wire (pts (xy 373.38 148.59) (xy 382.27 148.59)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 89a1aedf-d33b-4b23-ae7c-9cb74038258b) + ) + (wire (pts (xy 379.73 168.91) (xy 382.27 168.91)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8a202646-ac45-4762-b541-e950b4e1020f) ) @@ -4276,15 +4331,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 927f5773-c3a0-4448-b08b-569bd7083648) ) - (wire (pts (xy 363.22 153.67) (xy 363.22 173.99)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 92ce59e9-1919-48f3-9c29-aa1540dde63a) - ) - (wire (pts (xy 372.11 209.55) (xy 374.65 209.55)) + (wire (pts (xy 379.73 209.55) (xy 382.27 209.55)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 92f920e4-0897-4d29-b6f3-57a38df37787) ) - (wire (pts (xy 369.57 139.7) (xy 369.57 140.97)) + (wire (pts (xy 377.19 139.7) (xy 377.19 140.97)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 932ddb45-4ba3-4bf3-a00a-142bac13b3dc) ) @@ -4328,7 +4379,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 96754688-e4b1-4334-b871-045842f40c13) ) - (wire (pts (xy 372.11 176.53) (xy 374.65 176.53)) + (wire (pts (xy 379.73 176.53) (xy 382.27 176.53)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 96a88a3a-84f4-4757-a531-dae9474fcbc5) ) @@ -4356,11 +4407,16 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 99ae60fe-660e-41a7-bbda-7519d7b06567) ) + (bus (pts (xy 370.84 151.13) (xy 370.84 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9a169478-31e3-4bbf-970e-cc2d9c7661d1) + ) + (wire (pts (xy 39.37 48.26) (xy 39.37 49.53)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9aa1601d-a7ac-466b-9369-3b246eead236) ) - (wire (pts (xy 372.11 196.85) (xy 374.65 196.85)) + (wire (pts (xy 379.73 196.85) (xy 382.27 196.85)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9ada7447-77bd-475b-9c82-50f8082f4129) ) @@ -4392,6 +4448,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9dd9d31e-dbcc-4953-a28a-2791198e3d5e) ) + (wire (pts (xy 345.44 158.75) (xy 347.98 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9e4aee87-f1df-4d6d-b3bc-3ac047084665) + ) (wire (pts (xy 43.18 151.13) (xy 45.72 151.13)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9f1611ee-708d-4e10-95fe-cbe04474e348) @@ -4408,9 +4468,9 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a0131b43-6dbc-4b13-8f26-85588dda6b0b) ) - (wire (pts (xy 346.71 176.53) (xy 349.25 176.53)) + (wire (pts (xy 358.14 156.21) (xy 368.3 156.21)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a2308f1e-71dd-4a0f-997d-7149557a9cae) + (uuid a03a0f78-5357-4656-9c7d-87d0927d3b7b) ) (wire (pts (xy 179.07 161.29) (xy 181.61 161.29)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -4437,18 +4497,14 @@ (uuid a444bf0a-1c73-45a7-90ab-60bcec78fcf4) ) - (wire (pts (xy 369.57 128.27) (xy 369.57 129.54)) + (wire (pts (xy 377.19 128.27) (xy 377.19 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a4504b9f-077e-405e-9fd7-29dfc69e5229) ) - (wire (pts (xy 384.81 120.65) (xy 384.81 128.27)) + (wire (pts (xy 392.43 120.65) (xy 392.43 128.27)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a491a504-b99a-426d-8da3-9a524a363ff1) ) - (wire (pts (xy 346.71 179.07) (xy 349.25 179.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a4c5c756-5c56-44ed-8cdc-4543d4cb82ab) - ) (wire (pts (xy 43.18 158.75) (xy 45.72 158.75)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a4d9c065-5b7a-4d96-be98-bd033fe207ec) @@ -4465,11 +4521,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a6bc8171-dfeb-42e8-abc9-c03fff08f816) ) - (wire (pts (xy 377.19 128.27) (xy 377.19 129.54)) + (wire (pts (xy 384.81 128.27) (xy 384.81 129.54)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a6c92077-1915-412e-871e-ac2d6c37783c) ) - (wire (pts (xy 372.11 212.09) (xy 374.65 212.09)) + (wire (pts (xy 379.73 212.09) (xy 382.27 212.09)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a7287372-6afd-424e-9508-5d7eab00085a) ) @@ -4477,6 +4533,10 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a74f520e-d515-496a-8c76-32baafd85cbf) ) + (wire (pts (xy 345.44 156.21) (xy 347.98 156.21)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a76fd61c-c728-48d0-b1f3-8a42b6819bc1) + ) (wire (pts (xy 109.22 163.83) (xy 111.76 163.83)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a788e473-84c4-4590-b7d4-fd8ca96d4ed1) @@ -4559,11 +4619,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid af834b00-aaea-45c7-950e-84b084dfe56b) ) - (wire (pts (xy 363.22 194.31) (xy 363.22 176.53)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid af9ba0ca-0067-4af2-ba2d-1bde7bb02755) - ) - (wire (pts (xy 372.11 229.87) (xy 374.65 229.87)) + (wire (pts (xy 379.73 229.87) (xy 382.27 229.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b028f4f0-1f95-4d73-b307-8bf7c57c8ea2) ) @@ -4591,7 +4647,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b2c71296-82c7-40c5-8f49-f83547eab8c0) ) - (wire (pts (xy 372.11 171.45) (xy 374.65 171.45)) + (wire (pts (xy 379.73 171.45) (xy 382.27 171.45)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b3481442-4257-403f-b6a5-8570f8090bb8) ) @@ -4611,10 +4667,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b6d3cad5-d135-44d0-98ce-bc49b31b586a) ) - (wire (pts (xy 359.41 179.07) (xy 360.68 179.07)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b70ee907-cf21-473a-a117-f0e6125e9266) - ) (wire (pts (xy 43.18 173.99) (xy 45.72 173.99)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b7ed0d6a-1169-4eb8-889c-9cc8d2e684bf) @@ -4665,6 +4717,10 @@ (uuid bc9502f1-4fda-4cf9-a284-845a20b28080) ) + (wire (pts (xy 358.14 161.29) (xy 368.3 161.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bca4b38b-c65e-4a1c-9856-7084f7299cd4) + ) (wire (pts (xy 339.09 54.61) (xy 339.09 52.07)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid bdd47616-8c85-4a53-a341-dfa9115b167a) @@ -4677,6 +4733,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid bfa1bbdd-0578-4934-8149-7787314ded2d) ) + (bus (pts (xy 370.84 156.21) (xy 370.84 158.75)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bfb151b2-156e-4c5c-ba32-c37f5ab9bddc) + ) + (wire (pts (xy 180.34 69.85) (xy 184.15 69.85)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c06b17e3-b15f-40f4-a06e-4bf6c1b23134) @@ -4686,7 +4747,7 @@ (uuid c0826a2f-22c5-46a7-aa4a-fc10205904e2) ) - (wire (pts (xy 384.81 133.35) (xy 384.81 128.27)) + (wire (pts (xy 392.43 133.35) (xy 392.43 128.27)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c0d7cd88-cec5-4f4a-af98-e7d5ff3a4b4d) ) @@ -4698,7 +4759,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c1d235f6-18ad-4fe0-9aa8-4a13941996e6) ) - (wire (pts (xy 372.11 207.01) (xy 374.65 207.01)) + (wire (pts (xy 379.73 207.01) (xy 382.27 207.01)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c251fe09-a5e1-4d8c-a0a1-93edfa1bc3c4) ) @@ -4706,7 +4767,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c3272cb7-0efd-4ecd-b8fc-74d964e15522) ) - (wire (pts (xy 372.11 219.71) (xy 374.65 219.71)) + (wire (pts (xy 379.73 219.71) (xy 382.27 219.71)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c3659245-3451-4e7a-8ea4-0aa01b15668a) ) @@ -4735,7 +4796,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c583ec0b-a5b3-4a0f-9a27-2735bec38052) ) - (wire (pts (xy 361.95 137.16) (xy 361.95 139.7)) + (wire (pts (xy 369.57 137.16) (xy 369.57 139.7)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c5aa2927-a941-4f29-9ff5-623442ef6a9b) ) @@ -4759,7 +4820,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c9ac9432-79fb-4243-93eb-f96d2d6fda05) ) - (wire (pts (xy 372.11 222.25) (xy 374.65 222.25)) + (wire (pts (xy 379.73 222.25) (xy 382.27 222.25)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c9af9494-00a2-488e-887f-7b450328995d) ) @@ -4767,10 +4828,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ca7e8480-4216-4e80-a339-173bc61da1c8) ) - (wire (pts (xy 360.68 179.07) (xy 360.68 201.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cad4e114-9203-4328-8dcf-7351fcdffc49) - ) (wire (pts (xy 123.19 55.88) (xy 129.54 55.88)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cc006e0f-84a2-4d33-a925-2dc6b0d5eab8) @@ -4816,7 +4873,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d25ed519-b48f-44a3-8980-7b1f1116e51c) ) - (wire (pts (xy 361.95 128.27) (xy 369.57 128.27)) + (wire (pts (xy 369.57 128.27) (xy 377.19 128.27)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d29e8112-d7b1-4408-bccb-c88e474009d3) ) @@ -4836,6 +4893,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d3f65a96-3693-46a1-b9d8-789e5554b27e) ) + (bus (pts (xy 370.84 163.83) (xy 370.84 166.37)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d5731864-4f5f-4e78-a4e2-2ac92148d1df) + ) + (wire (pts (xy 43.18 186.69) (xy 45.72 186.69)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d5dc7aa6-6ea1-43b6-8e19-bf7ab62948ca) @@ -4914,7 +4976,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid dcb55796-40c6-4b84-974c-d304ee963c7c) ) - (wire (pts (xy 372.11 204.47) (xy 374.65 204.47)) + (wire (pts (xy 379.73 204.47) (xy 382.27 204.47)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid dd3268d1-2693-4c39-94f2-225ed399dd0f) ) @@ -4938,10 +5000,6 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid dec8c5df-1eec-4a5c-bd87-6f076f8daea0) ) - (wire (pts (xy 363.22 153.67) (xy 374.65 153.67)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid e02820d9-8ec2-44a1-a266-d614c0cd8c97) - ) (wire (pts (xy 302.26 36.83) (xy 302.26 34.29)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e0523077-d84a-4521-a786-a23c5c7f6e0e) @@ -5027,17 +5085,9 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid eb02e8be-6ea6-41b9-a2f6-82f9d74d9e46) ) - (wire (pts (xy 360.68 171.45) (xy 360.68 148.59)) + (wire (pts (xy 373.38 201.93) (xy 382.27 201.93)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid eb088c2d-87c0-4050-970a-2e429533c167) - ) - (wire (pts (xy 360.68 148.59) (xy 374.65 148.59)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid eb725590-f3fd-443f-a8ee-3a0289bd23ee) - ) - (wire (pts (xy 360.68 201.93) (xy 374.65 201.93)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid eed9c5d7-9a22-4232-b80b-1389deea4961) + (uuid ee56b4fd-0073-4c1d-8258-dba98f1e732b) ) (bus (pts (xy 280.67 208.28) (xy 280.67 224.79)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -5056,7 +5106,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f03ea3b8-55e4-4f61-93ee-b8cd0aeb1e7c) ) - (wire (pts (xy 384.81 128.27) (xy 377.19 128.27)) + (wire (pts (xy 392.43 128.27) (xy 384.81 128.27)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f0974a09-f283-4b42-b8f7-f4cfa2784bbd) ) @@ -5112,7 +5162,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f7814227-1ec2-4cd5-b025-2a9824cdfe52) ) - (wire (pts (xy 372.11 166.37) (xy 374.65 166.37)) + (wire (pts (xy 379.73 166.37) (xy 382.27 166.37)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid f8bc13b4-9190-4cf8-a04e-993cd9cc5950) ) @@ -5132,7 +5182,7 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fa9183e5-4cb1-4367-a05f-6c4c6d66e10c) ) - (wire (pts (xy 377.19 137.16) (xy 377.19 139.7)) + (wire (pts (xy 384.81 137.16) (xy 384.81 139.7)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fac37885-c4d1-42c6-b83d-d899372a84e9) ) @@ -5140,6 +5190,11 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fcc73dfb-ddbe-4310-93a7-4d4cfd30af7e) ) + (bus (pts (xy 370.84 161.29) (xy 370.84 163.83)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fd33f4cd-fd99-4e88-8c2a-adb12e13978c) + ) + (wire (pts (xy 121.92 120.65) (xy 121.92 125.73)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fd59b25f-b399-4385-b70a-3ce3a4e5521b) @@ -5164,22 +5219,18 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fe24c50c-455f-4fdf-b9bf-b272f6060195) ) + (wire (pts (xy 345.44 161.29) (xy 347.98 161.29)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fe3f7f50-502f-4860-88f0-52272b857d96) + ) (wire (pts (xy 43.18 166.37) (xy 45.72 166.37)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fec12e8a-1901-4ec2-bf58-3e459c2eddf1) ) - (wire (pts (xy 372.11 186.69) (xy 374.65 186.69)) + (wire (pts (xy 379.73 186.69) (xy 382.27 186.69)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fecd8c83-ab17-421b-8a0e-7479a41e333e) ) - (wire (pts (xy 363.22 194.31) (xy 374.65 194.31)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ff38d330-9c2a-4d59-9042-d5803ce4ab31) - ) - (wire (pts (xy 346.71 171.45) (xy 349.25 171.45)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ff3c0510-f304-4478-9b73-00fde1ca3c3e) - ) (text "~{INITN} (lights) goes low:\nthis indicates an error\nwith the configuration\nprocess. \nCheck sysCONFIG TN." (at 228.6 204.47 0) @@ -5268,6 +5319,14 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 1fa05512-5fd6-4833-94af-64f9f1185217) ) + (label "FPGA_MISO" (at 382.27 148.59 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 24a22534-f8d6-45a5-bbce-44bf57129b6a) + ) + (label "{FPGA_MOSI FPGA_MISO FPGA_CS FPGA_CLK}" (at 370.84 167.64 270) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 29268e3c-d66a-47b0-b438-20e4c37db4b5) + ) (label "{CFG_IO0 CFG_IO1 CFG_IO2 CFG_IO3 CFG_CLK ~{CFG_CS}}" (at 176.53 224.79 0) (effects (font (size 1.27 1.27)) (justify left bottom)) @@ -5309,10 +5368,22 @@ (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid 7044e974-913a-4f7f-a98b-7257da0fa296) ) + (label "FPGA_CS" (at 382.27 194.31 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 78db18b7-3021-4c7d-8eeb-c64190437128) + ) (label "CFG_IO1" (at 292.1 200.66 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid 869debe2-c652-4c04-bf1d-1614b50ee3ae) ) + (label "FPGA_CLK" (at 358.14 158.75 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8b604db2-21dc-48ab-b6c1-f8b23eb983ef) + ) + (label "FPGA_MOSI" (at 358.14 163.83 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9857ad53-1553-479b-b374-4294ad924faf) + ) (label "CFG_IO3" (at 292.1 195.58 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid a0ea42a7-261d-4e76-80ee-43f51a2dcb92) @@ -5321,14 +5392,26 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid b1c0787b-4105-489c-ab15-a5a33a17a9a9) ) + (label "FPGA_CS" (at 358.14 156.21 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b864b830-195c-40a1-8ce4-e5eb318d6313) + ) (label "FPGA_CLK_IN" (at 109.22 214.63 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid bab9f37e-b9aa-434d-ae21-8877a4b4669c) ) + (label "FPGA_CLK" (at 382.27 153.67 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c54f3fe9-b65f-4782-8015-bb8db265c139) + ) (label "~{CFG_CS}" (at 177.8 190.5 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid cb122e25-34a1-46df-8607-a2d613de228d) ) + (label "FPGA_MOSI" (at 382.27 201.93 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d036567d-acc8-46fe-83ec-b2c5edeac15f) + ) (label "CFG_IO2" (at 177.8 193.04 180) (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid f1a4cfd4-09cc-4c7f-8893-4f404a317073) @@ -5341,6 +5424,10 @@ (effects (font (size 1.27 1.27)) (justify right bottom)) (uuid fa3cab35-4a7b-468e-8444-535770e3eecf) ) + (label "FPGA_MISO" (at 358.14 161.29 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fdfaea4b-d836-41bb-8d66-885275e736dd) + ) (global_label "3V3" (shape input) (at 72.39 63.5 0) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify left)) @@ -5361,14 +5448,6 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid 039f8784-a7e0-4d2d-903d-9a8f8c67b366) ) - (hierarchical_label "SPI_MISO" (shape input) (at 346.71 171.45 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 07bb3c7a-2690-402d-b0f8-533cc6fe84ce) - ) - (hierarchical_label "SPI_MOSI" (shape input) (at 346.71 179.07 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 0921c614-3aba-4814-a792-3e77da4d559a) - ) (hierarchical_label "RXDATAP" (shape input) (at 26.67 207.01 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 112a2f65-ff43-425e-8d70-ac618d7c7ed5) @@ -5393,10 +5472,6 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid 476483c7-ae07-4782-b465-a561719e3442) ) - (hierarchical_label "SPI_CLK" (shape input) (at 346.71 173.99 180) - (effects (font (size 1.27 1.27)) (justify right)) - (uuid 5aaaf7b3-3dab-4fdd-a264-90953e117367) - ) (hierarchical_label "TXDATAN" (shape input) (at 43.18 201.93 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid 5dd00749-f60e-4d21-a16d-b232da737a18) @@ -5425,6 +5500,14 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid 94b25601-eaf6-4e79-a998-7f1160c1d660) ) + (hierarchical_label "SPI_MISO" (shape input) (at 345.44 161.29 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a2fabf62-6b0a-46ae-b58a-44da066c1e14) + ) + (hierarchical_label "SPI_CS" (shape input) (at 345.44 156.21 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a701fccb-8389-49f2-9938-7c1e23c2f7e8) + ) (hierarchical_label "TXCLOCKN" (shape input) (at 43.18 191.77 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid abd7d190-aa51-4b05-8df8-890befb5093b) @@ -5437,6 +5520,10 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid b8dfba22-f82a-47d2-97e5-98668677a930) ) + (hierarchical_label "SPI_CLK" (shape input) (at 345.44 158.75 180) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c83145e6-2e64-44f4-bb25-0b569c685f90) + ) (hierarchical_label "RXCLOCKN" (shape input) (at 26.67 224.79 180) (effects (font (size 1.27 1.27)) (justify right)) (uuid d8ec481b-c08f-49ba-8e54-1d9415f9f61a) @@ -5445,9 +5532,9 @@ (effects (font (size 1.27 1.27)) (justify right)) (uuid e1323b14-4a81-4d1b-be23-9e0510430791) ) - (hierarchical_label "SPI_CS" (shape input) (at 346.71 176.53 180) + (hierarchical_label "SPI_MOSI" (shape input) (at 345.44 163.83 180) (effects (font (size 1.27 1.27)) (justify right)) - (uuid eaaf9451-9bb7-4950-bea8-9a600067bf7e) + (uuid ef632075-bd8e-431a-936e-ddcef8898460) ) (symbol (lib_id "Device:C") (at 113.03 130.81 0) (unit 1) @@ -5528,34 +5615,61 @@ (pin "1" (uuid 801d565e-1ba1-4c9b-a717-fa50001782d1)) ) - (symbol (lib_id "Device:C") (at 361.95 133.35 0) (unit 1) + (symbol (lib_id "Device:C") (at 369.57 133.35 0) (unit 1) (in_bom yes) (on_board yes) (uuid 05da2a2f-8aac-4f69-92b0-4622cd97ee54) - (property "Reference" "C631" (id 0) (at 360.68 138.2014 0) + (property "Reference" "C631" (id 0) (at 368.3 138.2014 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "100n" (id 1) (at 360.68 135.89 0) + (property "Value" "100n" (id 1) (at 368.3 135.89 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 362.9152 137.16 0) + (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 370.5352 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 361.95 133.35 0) + (property "Datasheet" "~" (id 3) (at 369.57 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mnf." "KEMET" (id 4) (at 361.95 133.35 0) + (property "Mnf." "KEMET" (id 4) (at 369.57 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Description" "Capacitor, 0402, 10%, 16V, X7R" (id 5) (at 361.95 133.35 0) + (property "Description" "Capacitor, 0402, 10%, 16V, X7R" (id 5) (at 369.57 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "PartNumber" "C0402C104K4RACTU" (id 6) (at 361.95 133.35 0) + (property "PartNumber" "C0402C104K4RACTU" (id 6) (at 369.57 133.35 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 7e34e18c-138b-4433-a96f-730a13e8f4fc)) (pin "2" (uuid 4a21fe1f-7ff5-49b1-8b28-f7e23df03f71)) ) + (symbol (lib_id "Device:R_Pack04") (at 353.06 161.29 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid 062449b5-17eb-40bf-8fd8-c3da6187d593) + (property "Reference" "RN601" (id 0) (at 353.06 166.37 90)) + (property "Value" "" (id 1) (at 353.06 168.91 90)) + (property "Footprint" "" (id 2) (at 353.06 170.815 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 353.06 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Mnf." "Panasonic" (id 4) (at 353.06 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "PartNumber" "EXB-38V471JV" (id 5) (at 353.06 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 67a546fb-10ad-45e7-a821-0eefc36c2539)) + (pin "2" (uuid d4314823-092e-4dda-9b5c-162370dc81a0)) + (pin "3" (uuid ab6e9928-cd12-47d4-bed1-c311a3fbc530)) + (pin "4" (uuid abccb0bb-8940-4380-af23-bc54e3738458)) + (pin "5" (uuid b816767d-94a6-4307-ae0f-9ba9c3b7509f)) + (pin "6" (uuid be3a3bf8-7ebc-4ff9-89c7-f7a7d0390fea)) + (pin "7" (uuid 5d708b1d-f53e-4c26-a11a-dd207e069a6f)) + (pin "8" (uuid bd93ff56-c7fd-45d2-9d0e-0991c5dd8b33)) + ) + (symbol (lib_id "Device:C") (at 87.63 60.96 0) (unit 1) (in_bom yes) (on_board yes) (uuid 08f40bfb-cb08-4b43-8bf7-d1eaebfc92e1) @@ -5656,28 +5770,28 @@ (pin "3" (uuid 38b11dab-7dc4-492e-b2a4-b50d96263580)) ) - (symbol (lib_id "Device:C") (at 377.19 133.35 0) (unit 1) + (symbol (lib_id "Device:C") (at 384.81 133.35 0) (unit 1) (in_bom yes) (on_board yes) (uuid 1bae8a9a-73a5-4b80-aafe-d6267ec1f9e3) - (property "Reference" "C633" (id 0) (at 375.92 138.2014 0) + (property "Reference" "C633" (id 0) (at 383.54 138.2014 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "100n" (id 1) (at 375.92 135.89 0) + (property "Value" "100n" (id 1) (at 383.54 135.89 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 378.1552 137.16 0) + (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 385.7752 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 377.19 133.35 0) + (property "Datasheet" "~" (id 3) (at 384.81 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mnf." "KEMET" (id 4) (at 377.19 133.35 0) + (property "Mnf." "KEMET" (id 4) (at 384.81 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Description" "Capacitor, 0402, 10%, 16V, X7R" (id 5) (at 377.19 133.35 0) + (property "Description" "Capacitor, 0402, 10%, 16V, X7R" (id 5) (at 384.81 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "PartNumber" "C0402C104K4RACTU" (id 6) (at 377.19 133.35 0) + (property "PartNumber" "C0402C104K4RACTU" (id 6) (at 384.81 133.35 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid dacff793-2cf8-4983-8b59-2dfd51474563)) @@ -6765,33 +6879,6 @@ (pin "1" (uuid df8a890f-1c4e-463c-8dbd-d7c8a723a9ac)) ) - (symbol (lib_id "Device:R_Pack04") (at 354.33 176.53 270) (unit 1) - (in_bom yes) (on_board yes) - (uuid 473c0cbc-e3ca-48b6-9d2a-433f1e35bd19) - (property "Reference" "RN601" (id 0) (at 354.33 181.61 90)) - (property "Value" "" (id 1) (at 354.33 184.15 90)) - (property "Footprint" "" (id 2) (at 354.33 186.055 90) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Datasheet" "~" (id 3) (at 354.33 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "Mnf." "Panasonic" (id 4) (at 354.33 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (property "PartNumber" "EXB-38V471JV" (id 5) (at 354.33 176.53 0) - (effects (font (size 1.27 1.27)) hide) - ) - (pin "1" (uuid b7b582fd-9641-45ca-9a25-fef1fa82184b)) - (pin "2" (uuid 90bfb98d-48e7-496d-911d-e4ec82ad64c8)) - (pin "3" (uuid d5d394d8-26d3-446f-965c-f40e8f7cbea1)) - (pin "4" (uuid b558e38a-66f3-443e-8fa2-5064a232bd94)) - (pin "5" (uuid 6d8dcbab-b86d-408e-a11b-83f913bd97f2)) - (pin "6" (uuid 85372737-a2f3-4c07-ad68-b446f442a0c4)) - (pin "7" (uuid 1c5c15fa-4e3f-4892-9561-a946967ad7c1)) - (pin "8" (uuid e15ae67b-2c04-433b-9d01-93c6a63abb6c)) - ) - (symbol (lib_id "Device:R_Small") (at 245.11 166.37 0) (mirror x) (unit 1) (in_bom yes) (on_board yes) (uuid 48e969a2-43af-4ce1-8a9c-2e53ac20c0a2) @@ -8095,19 +8182,19 @@ (pin "2" (uuid 4202fc72-5ad2-4e5d-abc1-5a13777ee0fb)) ) - (symbol (lib_id "lsf-kicad:LFE5UM-45F-6BG381x") (at 384.81 186.69 0) (unit 5) + (symbol (lib_id "lsf-kicad:LFE5UM-45F-6BG381x") (at 392.43 186.69 0) (unit 5) (in_bom yes) (on_board yes) (uuid 8f19b3d5-5e58-47db-8cb9-3ef671d6dfb6) - (property "Reference" "U602" (id 0) (at 379.73 236.22 0) + (property "Reference" "U602" (id 0) (at 387.35 236.22 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Value" "LFE5UM-45F-6BG381x" (id 1) (at 373.38 238.76 0) + (property "Value" "LFE5UM-45F-6BG381x" (id 1) (at 381 238.76 0) (effects (font (size 1.27 1.27)) (justify left)) ) - (property "Footprint" "Package_BGA:Lattice_caBGA-381_17.0x17.0mm_Layout20x20_P0.8mm_Ball0.4mm_Pad0.4mm_NSMD" (id 2) (at 384.81 186.69 0) + (property "Footprint" "Package_BGA:Lattice_caBGA-381_17.0x17.0mm_Layout20x20_P0.8mm_Ball0.4mm_Pad0.4mm_NSMD" (id 2) (at 392.43 186.69 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "https://www.latticesemi.com/view_document?document_id=50461" (id 3) (at 384.81 186.69 0) + (property "Datasheet" "https://www.latticesemi.com/view_document?document_id=50461" (id 3) (at 392.43 186.69 0) (effects (font (size 1.27 1.27)) hide) ) (pin "B14" (uuid e4a7f1fd-b400-45a9-b07f-10d2590d2f20)) @@ -8159,7 +8246,7 @@ (pin "K6" (uuid c337b559-a970-4502-8b2f-93c58456ad91)) (pin "K7" (uuid 676219d9-00c4-4268-b73a-98de65d8894b)) (pin "K8" (uuid 61718eae-b0f3-4a84-a129-4413f3458d0b)) - (pin "K9" (uuid a4c5c756-5c56-44ed-8cdc-4543d4cb82ab)) + (pin "K9" (uuid a4c5c756-5c56-44ed-8cdc-4543d4cb82ac)) (pin "L10" (uuid 3b931b2a-b612-451e-9b44-802d0bfa2632)) (pin "L11" (uuid f0f7c07f-cbaa-4aa3-92ff-9c91b67dd825)) (pin "L12" (uuid dab1549b-8c8c-4bc9-9890-e3bac2520198)) @@ -9695,28 +9782,28 @@ (pin "2" (uuid fc45baf9-780d-4f4e-b657-cc9aca528ff1)) ) - (symbol (lib_id "Device:C") (at 369.57 133.35 0) (unit 1) + (symbol (lib_id "Device:C") (at 377.19 133.35 0) (unit 1) (in_bom yes) (on_board yes) (uuid e4cff055-c4f8-4367-a66e-53cba442da36) - (property "Reference" "C632" (id 0) (at 368.3 138.2014 0) + (property "Reference" "C632" (id 0) (at 375.92 138.2014 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Value" "100n" (id 1) (at 368.3 135.89 0) + (property "Value" "100n" (id 1) (at 375.92 135.89 0) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 370.5352 137.16 0) + (property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (id 2) (at 378.1552 137.16 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 369.57 133.35 0) + (property "Datasheet" "~" (id 3) (at 377.19 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Mnf." "KEMET" (id 4) (at 369.57 133.35 0) + (property "Mnf." "KEMET" (id 4) (at 377.19 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Description" "Capacitor, 0402, 10%, 16V, X7R" (id 5) (at 369.57 133.35 0) + (property "Description" "Capacitor, 0402, 10%, 16V, X7R" (id 5) (at 377.19 133.35 0) (effects (font (size 1.27 1.27)) hide) ) - (property "PartNumber" "C0402C104K4RACTU" (id 6) (at 369.57 133.35 0) + (property "PartNumber" "C0402C104K4RACTU" (id 6) (at 377.19 133.35 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 6dc80d59-af28-4b80-a8e4-dd0161c9d79b)) @@ -10335,17 +10422,17 @@ (pin "2" (uuid 18498b78-8ec6-488c-9162-4b0143139a76)) ) - (symbol (lib_id "power:GND") (at 369.57 140.97 0) (unit 1) + (symbol (lib_id "power:GND") (at 377.19 140.97 0) (unit 1) (in_bom yes) (on_board yes) (uuid f5d418a9-5066-4276-9f1d-5880a8f1e0bf) - (property "Reference" "#PWR0630" (id 0) (at 369.57 147.32 0) + (property "Reference" "#PWR0630" (id 0) (at 377.19 147.32 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 369.697 145.3642 0)) - (property "Footprint" "" (id 2) (at 369.57 140.97 0) + (property "Value" "GND" (id 1) (at 377.317 145.3642 0)) + (property "Footprint" "" (id 2) (at 377.19 140.97 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 369.57 140.97 0) + (property "Datasheet" "" (id 3) (at 377.19 140.97 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5a650b3c-e4e4-45dc-83f2-7ddd83f689ce)) diff --git a/sidloc.kicad_sch b/sidloc.kicad_sch index 564b30f..e59a5c7 100644 --- a/sidloc.kicad_sch +++ b/sidloc.kicad_sch @@ -2090,7 +2090,7 @@ (path "/6b354ff5-0b65-492c-8665-55bb5ce5ef57/9a4a6b08-7deb-4d5e-8ab1-69e0b0f6971f" (reference "RF502") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0603_1608Metric") ) - (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/473c0cbc-e3ca-48b6-9d2a-433f1e35bd19" + (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/062449b5-17eb-40bf-8fd8-c3da6187d593" (reference "RN601") (unit 1) (value "470") (footprint "Resistor_SMD:R_Array_Convex_4x0402") ) (path "/9ea3f9c6-0cc2-4494-8b77-3464b0c635c8/5ce96e35-d711-4735-bc07-243bb6042c40"