From 751f54fc0b777882376c55912de14f2bad33a37c Mon Sep 17 00:00:00 2001 From: Agis Zisimatos Date: Wed, 6 Apr 2022 19:44:37 +0300 Subject: [PATCH] Initialize schematics and define connectors Signed-off-by: Agis Zisimatos --- sidloc-schematic | 2 +- sidloc-transceiver.kicad_pro | 256 +++++- sidloc-transceiver.kicad_sch | 1650 +++++++++++++++++++++++++++++++++- 3 files changed, 1903 insertions(+), 5 deletions(-) diff --git a/sidloc-schematic b/sidloc-schematic index 7308bbf..21e81ae 160000 --- a/sidloc-schematic +++ b/sidloc-schematic @@ -1 +1 @@ -Subproject commit 7308bbf9eb5c096d04d263dd7d540dfce0d7b830 +Subproject commit 21e81aed538599473ccaff7d072deeb2036dc96e diff --git a/sidloc-transceiver.kicad_pro b/sidloc-transceiver.kicad_pro index 1059c1a..2be3b25 100644 --- a/sidloc-transceiver.kicad_pro +++ b/sidloc-transceiver.kicad_pro @@ -29,6 +29,211 @@ "cvpcb": { "equivalence_files": [] }, + "erc": { + "erc_exclusions": [], + "meta": { + "version": 0 + }, + "pin_map": [ + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 1, + 0, + 1, + 2 + ], + [ + 0, + 1, + 0, + 0, + 0, + 0, + 1, + 1, + 2, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 0, + 2 + ], + [ + 1, + 1, + 1, + 1, + 1, + 0, + 1, + 1, + 1, + 1, + 1, + 2 + ], + [ + 0, + 0, + 0, + 1, + 0, + 0, + 1, + 0, + 0, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 2, + 0, + 0, + 1, + 0, + 2, + 2, + 2, + 2 + ], + [ + 0, + 2, + 0, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 0, + 2, + 1, + 1, + 0, + 0, + 1, + 0, + 2, + 0, + 0, + 2 + ], + [ + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2, + 2 + ] + ], + "rule_severities": { + "bus_definition_conflict": "error", + "bus_entry_needed": "error", + "bus_label_syntax": "error", + "bus_to_bus_conflict": "error", + "bus_to_net_conflict": "error", + "different_unit_footprint": "error", + "different_unit_net": "error", + "duplicate_reference": "error", + "duplicate_sheet_names": "error", + "extra_units": "error", + "global_label_dangling": "warning", + "hier_label_mismatch": "error", + "label_dangling": "error", + "lib_symbol_issues": "warning", + "multiple_net_names": "warning", + "net_not_bus_member": "warning", + "no_connect_connected": "warning", + "no_connect_dangling": "warning", + "pin_not_connected": "error", + "pin_not_driven": "error", + "pin_to_pin": "warning", + "power_pin_not_driven": "error", + "similar_labels": "warning", + "unannotated": "error", + "unit_value_mismatch": "error", + "unresolved_variable": "error", + "wire_dangling": "error" + } + }, "libraries": { "pinned_footprint_libs": [], "pinned_symbol_libs": [] @@ -74,9 +279,56 @@ "page_layout_descr_file": "" }, "schematic": { + "annotate_start_num": 0, + "drawing": { + "default_line_thickness": 6.0, + "default_text_size": 50.0, + "field_names": [], + "intersheets_ref_own_page": false, + "intersheets_ref_prefix": "", + "intersheets_ref_short": false, + "intersheets_ref_show": false, + "intersheets_ref_suffix": "", + "junction_size_choice": 3, + "label_size_ratio": 0.375, + "pin_symbol_size": 25.0, + "text_offset_ratio": 0.15 + }, "legacy_lib_dir": "", - "legacy_lib_list": [] + "legacy_lib_list": [], + "meta": { + "version": 1 + }, + "net_format_name": "", + "ngspice": { + "fix_include_paths": true, + "fix_passive_vals": false, + "meta": { + "version": 0 + }, + "model_mode": 0, + "workbook_filename": "" + }, + "page_layout_descr_file": "", + "plot_directory": "", + "spice_adjust_passive_values": false, + "spice_external_command": "spice \"%I\"", + "subpart_first_id": 65, + "subpart_id_separator": 0 }, - "sheets": [], + "sheets": [ + [ + "1cb22080-0f59-4c18-a6e6-8685ef44ec53", + "" + ], + [ + "3c19fda9-55de-469e-9693-2d8993bca106", + "fpga" + ], + [ + "7e10c94a-7591-4892-9351-18ebe562ea30", + "transceiver" + ] + ], "text_variables": {} } diff --git a/sidloc-transceiver.kicad_sch b/sidloc-transceiver.kicad_sch index 3cfeed7..4686ab3 100644 --- a/sidloc-transceiver.kicad_sch +++ b/sidloc-transceiver.kicad_sch @@ -1,5 +1,1651 @@ (kicad_sch (version 20211123) (generator eeschema) + + (uuid 1cb22080-0f59-4c18-a6e6-8685ef44ec53) + (paper "A4") - (lib_symbols) - (symbol_instances) + + (lib_symbols + (symbol "Connector:Conn_Coaxial" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0.254 3.048 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_Coaxial" (id 1) (at 2.921 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" " ~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "BNC SMA SMB SMC LEMO coaxial connector CINCH RCA" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "coaxial connector (BNC, SMA, SMB, SMC, Cinch/RCA, LEMO, ...)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "*BNC* *SMA* *SMB* *SMC* *Cinch* *LEMO*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_Coaxial_0_1" + (arc (start -1.778 -0.508) (mid 0.2311 -1.8066) (end 1.778 0) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy -2.54 0) + (xy -0.508 0) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 -2.54) + (xy 0 -1.778) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (circle (center 0 0) (radius 0.508) + (stroke (width 0.2032) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (arc (start 1.778 0) (mid 0.2099 1.8101) (end -1.778 0.508) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "Conn_Coaxial_1_1" + (pin passive line (at -5.08 0 0) (length 2.54) + (name "In" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -5.08 90) (length 2.54) + (name "Ext" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x06" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 7.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x06" (id 1) (at 0 -10.16 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x06, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x06_1_1" + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 6.35) (end 1.27 -8.89) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Connector_Generic:Conn_01x14" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes) + (property "Reference" "J" (id 0) (at 0 17.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "Conn_01x14" (id 1) (at 0 -20.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "connector" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Generic connector, single row, 01x14, script generated (kicad-library-utils/schlib/autogen/connector/)" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Connector*:*_1x??_*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "Conn_01x14_1_1" + (rectangle (start -1.27 -17.653) (end 0 -17.907) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -15.113) (end 0 -15.367) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -12.573) (end 0 -12.827) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -10.033) (end 0 -10.287) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -7.493) (end 0 -7.747) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -4.953) (end 0 -5.207) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 -2.413) (end 0 -2.667) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 0.127) (end 0 -0.127) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 2.667) (end 0 2.413) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 5.207) (end 0 4.953) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 7.747) (end 0 7.493) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 10.287) (end 0 10.033) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 12.827) (end 0 12.573) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 15.367) (end 0 15.113) + (stroke (width 0.1524) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + (rectangle (start -1.27 16.51) (end 1.27 -19.05) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + (pin passive line (at -5.08 15.24 0) (length 3.81) + (name "Pin_1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -7.62 0) (length 3.81) + (name "Pin_10" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -10.16 0) (length 3.81) + (name "Pin_11" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -12.7 0) (length 3.81) + (name "Pin_12" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -15.24 0) (length 3.81) + (name "Pin_13" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -17.78 0) (length 3.81) + (name "Pin_14" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 12.7 0) (length 3.81) + (name "Pin_2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 10.16 0) (length 3.81) + (name "Pin_3" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 7.62 0) (length 3.81) + (name "Pin_4" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 5.08 0) (length 3.81) + (name "Pin_5" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 2.54 0) (length 3.81) + (name "Pin_6" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 0 0) (length 3.81) + (name "Pin_7" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -2.54 0) (length 3.81) + (name "Pin_8" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at -5.08 -5.08 0) (length 3.81) + (name "Pin_9" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "lsf-kicad:PQ9-Connector" (in_bom yes) (on_board yes) + (property "Reference" "PQ" (id 0) (at -3.81 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "PQ9-Connector" (id 1) (at 7.62 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "lsf-kicad-lib:PQ9" (id 2) (at 10.16 -12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://libre.space/pq9ish" (id 3) (at 12.7 5.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "PQ9 pocketcube" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Pocket Cube template for PQ9ISH format" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "PQ9*" (id 6) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PQ9-Connector_0_1" + (rectangle (start -6.35 11.43) (end 7.62 -11.43) + (stroke (width 0.254) (type default) (color 0 0 0 0)) + (fill (type background)) + ) + ) + (symbol "PQ9-Connector_1_1" + (pin bidirectional line (at -10.16 10.16 0) (length 3.81) + (name "1-Wire/Opt1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 7.62 0) (length 3.81) + (name "D-/Opt2" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 5.08 0) (length 3.81) + (name "D+" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -15.24 90) (length 3.81) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 2.54 0) (length 3.81) + (name "V1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 0 0) (length 3.81) + (name "V2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -2.54 0) (length 3.81) + (name "V3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_out line (at -10.16 -5.08 0) (length 3.81) + (name "V4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -15.24 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (id 0) (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:PWR_FLAG" (power) (pin_numbers hide) (pin_names (offset 0) hide) (in_bom yes) (on_board yes) + (property "Reference" "#FLG" (id 0) (at 0 1.905 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "PWR_FLAG" (id 1) (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (id 2) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "power-flag" (id 4) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Special symbol for telling ERC where power comes from" (id 5) (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "PWR_FLAG_0_0" + (pin power_out line (at 0 0 90) (length 0) + (name "pwr" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "PWR_FLAG_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy -1.016 1.905) + (xy 0 2.54) + (xy 1.016 1.905) + (xy 0 1.27) + ) + (stroke (width 0) (type default) (color 0 0 0 0)) + (fill (type none)) + ) + ) + ) + ) + + (junction (at 156.21 91.44) (diameter 0) (color 0 0 0 0) + (uuid 5eb1ff2d-fbda-4a1f-96e4-8b49c36dbcb3) + ) + (junction (at 158.75 88.9) (diameter 0) (color 0 0 0 0) + (uuid a5e53813-104e-4b27-b7e2-fd4743ce427f) + ) + (junction (at 73.66 109.22) (diameter 0) (color 0 0 0 0) + (uuid c3b581b7-19f5-4870-942a-dc3f2bf4b9fd) + ) + (junction (at 153.67 93.98) (diameter 0) (color 0 0 0 0) + (uuid f94b0dac-9769-4c37-a563-fb51df7b95ee) + ) + + (no_connect (at 203.2 69.85) (uuid 4c271ec7-9717-4a41-88f3-99ece63ff155)) + (no_connect (at 203.2 72.39) (uuid 4c271ec7-9717-4a41-88f3-99ece63ff156)) + (no_connect (at 203.2 74.93) (uuid 4c271ec7-9717-4a41-88f3-99ece63ff157)) + (no_connect (at 85.09 92.71) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bda)) + (no_connect (at 85.09 85.09) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bdb)) + (no_connect (at 85.09 87.63) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bdc)) + (no_connect (at 85.09 82.55) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bdd)) + (no_connect (at 85.09 97.79) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bde)) + (no_connect (at 85.09 95.25) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bdf)) + + (wire (pts (xy 162.56 110.49) (xy 153.67 110.49)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 06cd48ea-cabd-4519-900f-446b50294309) + ) + (wire (pts (xy 73.66 109.22) (xy 74.93 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0b479157-ef51-4297-95fd-a35638c6e81d) + ) + (wire (pts (xy 83.82 87.63) (xy 85.09 87.63)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 0b8dd51a-648a-4565-8635-dcc78e38b84b) + ) + (wire (pts (xy 190.5 96.52) (xy 190.5 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 151c7074-3ed1-4648-a0f2-8a15bda1c964) + ) + (wire (pts (xy 151.13 50.8) (xy 151.13 52.07)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 19b75696-73dd-4cb5-bc2b-87bac5b836c3) + ) + (wire (pts (xy 129.54 99.06) (xy 130.81 99.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 1f1a21da-db13-4178-846d-ec1371c02059) + ) + (wire (pts (xy 143.51 121.92) (xy 162.56 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 2023b55e-122c-4f2f-a782-d36528961b79) + ) + (wire (pts (xy 148.59 104.14) (xy 148.59 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 250269ac-5b93-4063-ab42-c5028b77d9e3) + ) + (wire (pts (xy 198.12 96.52) (xy 198.12 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 25cb67e6-bdf0-4545-9a70-dbf114b1cfc3) + ) + (wire (pts (xy 151.13 113.03) (xy 162.56 113.03)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 294323d0-d603-4886-9eb6-15eea011512d) + ) + (wire (pts (xy 129.54 93.98) (xy 146.05 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3106f633-08ae-4b26-8aa6-65f6ab643dd2) + ) + (wire (pts (xy 138.43 50.8) (xy 138.43 74.93)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 33d4b82c-be1f-4195-8a94-385c2bb79b7b) + ) + (wire (pts (xy 129.54 104.14) (xy 148.59 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3c69879f-1119-4228-98c7-34a0c467c6e1) + ) + (wire (pts (xy 83.82 82.55) (xy 85.09 82.55)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3d7abd36-c737-435f-9ba5-3dcf32c3156f) + ) + (wire (pts (xy 83.82 85.09) (xy 85.09 85.09)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 3e76f278-c7d2-4193-b4df-9a7c5293e042) + ) + (wire (pts (xy 195.58 96.52) (xy 195.58 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4025cf95-7995-4e15-9f54-e9f485fbad80) + ) + (wire (pts (xy 153.67 93.98) (xy 148.59 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 41a040c5-7cde-4d68-a745-d2f5b9a7ac59) + ) + (wire (pts (xy 143.51 88.9) (xy 143.51 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 42e4cb40-0ece-44cc-a8b7-9eeef37d8531) + ) + (wire (pts (xy 140.97 72.39) (xy 162.56 72.39)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 44466368-9d46-421d-9a68-2468cd20dc57) + ) + (wire (pts (xy 158.75 105.41) (xy 158.75 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 455bd0a4-470f-400c-a091-71012fa99e92) + ) + (wire (pts (xy 201.93 74.93) (xy 203.2 74.93)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 473dec22-fafc-4568-b760-a109af927a37) + ) + (wire (pts (xy 83.82 97.79) (xy 85.09 97.79)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4b839b58-b4b0-4ad2-9ed1-be4ff0e6aac7) + ) + (wire (pts (xy 129.54 81.28) (xy 162.56 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 56b9b74c-d280-4b44-8951-47ac0ac138b8) + ) + (wire (pts (xy 146.05 91.44) (xy 156.21 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 60f93e70-421b-4bdf-9fb9-b77a32029463) + ) + (wire (pts (xy 156.21 91.44) (xy 156.21 107.95)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6361f867-d7fa-47a6-94cd-e8dd4560a96f) + ) + (wire (pts (xy 148.59 50.8) (xy 148.59 58.42)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 69c80c97-63ae-45b1-9df0-e8f6e2fdb152) + ) + (wire (pts (xy 148.59 116.84) (xy 162.56 116.84)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6aac308e-f41f-4d78-bf94-890559caaa0b) + ) + (wire (pts (xy 129.54 86.36) (xy 162.56 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6b40e904-29a1-420b-bbce-e29c887cb4fd) + ) + (wire (pts (xy 179.07 96.52) (xy 179.07 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 6cbafc39-09a1-40c0-9b0e-503306fa9667) + ) + (wire (pts (xy 201.93 72.39) (xy 203.2 72.39)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 71b26da1-c654-4bad-8bed-6a063f81c111) + ) + (wire (pts (xy 83.82 90.17) (xy 85.09 90.17)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 750f1a8c-c00c-47d8-b4e8-1403017541e0) + ) + (wire (pts (xy 143.51 91.44) (xy 129.54 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 77bcb4d8-7d6b-4a81-891d-32e190d6040f) + ) + (wire (pts (xy 151.13 101.6) (xy 151.13 113.03)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7b48a967-8c70-4b97-b403-39633b563db7) + ) + (wire (pts (xy 148.59 93.98) (xy 148.59 96.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7d186d7b-bac7-4b15-999a-afecfb61e20e) + ) + (wire (pts (xy 158.75 88.9) (xy 162.56 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 7f223f55-d10d-4dbb-ac77-777ee7989266) + ) + (wire (pts (xy 146.05 106.68) (xy 146.05 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 856baa1f-9d12-410f-8653-de325b2723c5) + ) + (wire (pts (xy 146.05 119.38) (xy 162.56 119.38)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8c87065b-b532-4a1b-8228-43e3a907942f) + ) + (wire (pts (xy 129.54 101.6) (xy 151.13 101.6)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 903dee27-bf16-4018-a82e-04d462a0781f) + ) + (wire (pts (xy 193.04 96.52) (xy 193.04 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9328d83d-6da5-457b-92da-43fb4ead4b3f) + ) + (wire (pts (xy 138.43 74.93) (xy 162.56 74.93)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 941a53ec-aa44-4aad-aeac-9a7ae2a35696) + ) + (wire (pts (xy 153.67 93.98) (xy 153.67 110.49)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9420b75b-9182-418e-9b80-75498994b6bf) + ) + (wire (pts (xy 73.66 107.95) (xy 73.66 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 94cbfaac-705a-40af-98ff-1aa3a3cbbce2) + ) + (wire (pts (xy 186.69 96.52) (xy 186.69 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 98216cbf-b864-4f12-abfc-91e227bc2f0b) + ) + (wire (pts (xy 138.43 134.62) (xy 162.56 134.62)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 9c021667-8430-488e-9f3a-8cd4626c46dd) + ) + (wire (pts (xy 181.61 96.52) (xy 181.61 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a0dcfa43-cb74-4b18-9ad5-80709c5f2843) + ) + (wire (pts (xy 162.56 107.95) (xy 156.21 107.95)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a230ab96-5008-4df0-b115-bd681dd2a0fb) + ) + (wire (pts (xy 129.54 109.22) (xy 143.51 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid a83460d4-2c0f-4e99-835e-42ad1c80369f) + ) + (wire (pts (xy 133.35 139.7) (xy 133.35 140.97)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid abb1acdd-bd6b-4e80-8c2d-dd9bea6f69f8) + ) + (wire (pts (xy 129.54 78.74) (xy 162.56 78.74)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ae61e111-27d3-4d28-ab77-1d01753800eb) + ) + (wire (pts (xy 146.05 50.8) (xy 146.05 67.31)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b1e89af9-52ed-4c6e-9b51-96c22418221c) + ) + (wire (pts (xy 158.75 88.9) (xy 143.51 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b611f6eb-a96a-4b55-8e90-d0490528bff8) + ) + (wire (pts (xy 184.15 96.52) (xy 184.15 102.87)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid b787f150-5ecd-4251-a43d-56c13d192e9e) + ) + (wire (pts (xy 146.05 93.98) (xy 146.05 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ba791315-fd33-4abc-8743-49e4bc983cf6) + ) + (wire (pts (xy 129.54 76.2) (xy 130.81 76.2)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid be3c5b2c-7253-422b-8224-2db6185cbefe) + ) + (wire (pts (xy 148.59 96.52) (xy 129.54 96.52)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid bfa573d1-7a4c-40ac-83f2-a2d8f2a6286d) + ) + (wire (pts (xy 129.54 88.9) (xy 130.81 88.9)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c2e58eea-4686-4f46-ab59-62c3964c2756) + ) + (wire (pts (xy 140.97 50.8) (xy 140.97 72.39)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cbe99002-3f5a-4663-ba43-f903bf583048) + ) + (wire (pts (xy 156.21 91.44) (xy 162.56 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cc68d520-8896-47ec-9aea-fe1abed45c25) + ) + (wire (pts (xy 143.51 69.85) (xy 162.56 69.85)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid cdf2dc45-416f-45e1-94e6-84ab2c1f1447) + ) + (wire (pts (xy 143.51 50.8) (xy 143.51 69.85)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ce6373f8-e22d-45e4-ac26-4241b12048f5) + ) + (wire (pts (xy 201.93 69.85) (xy 203.2 69.85)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid d2fe63ce-6c5a-4a29-8c9c-d4a640117f12) + ) + (wire (pts (xy 146.05 67.31) (xy 162.56 67.31)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid daffee72-f993-4e9d-b338-f3e4ff46aa72) + ) + (wire (pts (xy 83.82 95.25) (xy 85.09 95.25)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e02ec384-7e15-4936-9acc-f874b79590f2) + ) + (wire (pts (xy 143.51 109.22) (xy 143.51 121.92)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e7d7d974-6a17-4028-b531-5f3aebff925f) + ) + (wire (pts (xy 73.66 109.22) (xy 73.66 110.49)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid ecb39cff-8fa9-41ed-8f99-303fa5c0db8c) + ) + (wire (pts (xy 162.56 105.41) (xy 158.75 105.41)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f6681789-a8aa-4fcf-bd5b-076043ec309c) + ) + (wire (pts (xy 129.54 106.68) (xy 146.05 106.68)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid f8150292-c8d0-41ff-b0e9-72f3fc062051) + ) + (wire (pts (xy 153.67 93.98) (xy 162.56 93.98)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fd6d39c7-dad9-4b3e-b6a2-9f1786d1f082) + ) + (wire (pts (xy 83.82 92.71) (xy 85.09 92.71)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fe2a285a-afeb-4a58-96ae-4df26c9546fc) + ) + (wire (pts (xy 129.54 83.82) (xy 162.56 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid fec1ca6b-cfc9-4276-9a1a-89aaaef76baf) + ) + + (label "SPI_CS2" (at 160.02 113.03 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid af77aa03-48d4-40d8-8c17-f63dee70e76a) + ) + (label "SPI_CS1" (at 160.02 86.36 180) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e154ca3e-173b-4da9-b838-c378f31c1204) + ) + + (global_label "3V3" (shape input) (at 151.13 52.07 270) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4924c6a8-ada1-40f2-9894-f9912e62fbe9) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 151.2094 57.9907 90) + (effects (font (size 1.27 1.27)) (justify right) hide) + ) + ) + (global_label "3V3" (shape input) (at 85.09 90.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid cb03bad5-6bce-4400-8eed-a44771d38df1) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 91.0107 90.0906 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + ) + + (symbol (lib_id "power:GND") (at 148.59 58.42 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 4069af3d-0838-43d5-ad5a-f61bd44e2c45) + (property "Reference" "#PWR0104" (id 0) (at 148.59 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 148.5901 62.23 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 148.59 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 148.59 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ac213fc3-e990-496c-86ca-b127a21863ea)) + ) + + (symbol (lib_id "power:GND") (at 73.66 110.49 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 45d67b03-0422-4603-ae20-02dec2110012) + (property "Reference" "#PWR0105" (id 0) (at 73.66 116.84 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 73.66 115.57 0)) + (property "Footprint" "" (id 2) (at 73.66 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 73.66 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bd6b4091-e410-4675-ab93-98b0ef711b6f)) + ) + + (symbol (lib_id "power:GND") (at 130.81 99.06 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 6fc1c8d4-77b3-47da-868d-76b70e0504f5) + (property "Reference" "#PWR0106" (id 0) (at 137.16 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 134.62 99.0599 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 130.81 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 130.81 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2e2f50ee-5f6a-404c-b1f2-df777d620e3f)) + ) + + (symbol (lib_id "power:GND") (at 133.35 140.97 0) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 9821652c-06a2-4902-877d-da88ca3d9da6) + (property "Reference" "#PWR0101" (id 0) (at 133.35 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 133.35 146.05 0)) + (property "Footprint" "" (id 2) (at 133.35 140.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 133.35 140.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1a893cb8-e786-4c16-8c1e-243f251159a7)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x06") (at 143.51 45.72 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 9a51fd09-eca3-427d-8f96-6eda33983388) + (property "Reference" "J103" (id 0) (at 144.78 39.37 90)) + (property "Value" "JTAG" (id 1) (at 144.78 41.91 90)) + (property "Footprint" "Connector_Molex:Molex_PicoBlade_53261-0671_1x06-1MP_P1.25mm_Horizontal" (id 2) (at 143.51 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 143.51 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ae0f44da-9a24-4451-89e0-9e5c95fe5d0e)) + (pin "2" (uuid 58c27025-8e47-4f55-91e8-b09f2eb2e1c6)) + (pin "3" (uuid 426b6837-5c3f-459b-ae3c-b33aa7535685)) + (pin "4" (uuid b5e91962-44f2-43fa-b3ce-db541e387729)) + (pin "5" (uuid 7efb1152-4573-4861-ae44-20e78613fc33)) + (pin "6" (uuid 4fd3be58-23ac-46e0-b9dc-663f93394da7)) + ) + + (symbol (lib_id "power:GND") (at 130.81 76.2 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid 9d81a245-9ee2-46b1-b9b5-47d9d0d09596) + (property "Reference" "#PWR0102" (id 0) (at 137.16 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 134.62 76.1999 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 130.81 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 130.81 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5b4369a7-d988-433b-8373-0c269ef18754)) + ) + + (symbol (lib_id "lsf-kicad:PQ9-Connector") (at 73.66 92.71 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid b32face3-6a09-493e-a59d-ec6310cc7169) + (property "Reference" "PQ101" (id 0) (at 73.025 76.2 0)) + (property "Value" "PQ9-Connector" (id 1) (at 73.025 78.74 0)) + (property "Footprint" "lsf-kicad-lib:PQ9" (id 2) (at 63.5 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://libre.space/pq9ish" (id 3) (at 60.96 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6f3db225-fc4e-49c6-b4ba-1bae5fe65554)) + (pin "2" (uuid e90321af-348e-4a4c-a66b-8f3c451261a1)) + (pin "3" (uuid d7a6eae7-c0b5-41b7-8589-b2725d4bacfe)) + (pin "4" (uuid 3e04e181-f1c4-4ed6-88e5-651155925f95)) + (pin "5" (uuid b33ebe68-3900-49bb-9e3a-1f80dff599c3)) + (pin "6" (uuid 23aa9981-8f7c-41cd-bff0-2c4916f0c5d6)) + (pin "7" (uuid 538f6011-4342-45ce-a303-25ec826ff7d3)) + (pin "8" (uuid 2b02489e-f184-4bbf-b000-4452b895cd9d)) + (pin "9" (uuid 48b50ee1-6e1e-4122-8b01-d4ee2658cd5a)) + ) + + (symbol (lib_id "Connector_Generic:Conn_01x14") (at 124.46 93.98 180) (unit 1) + (in_bom yes) (on_board yes) + (uuid b7f72b91-9c14-412e-8d5b-9546c79f0c93) + (property "Reference" "J101" (id 0) (at 118.11 93.98 90)) + (property "Value" "MCU" (id 1) (at 120.65 93.98 90)) + (property "Footprint" "Connector_Molex:Molex_PicoBlade_53261-1471_1x14-1MP_P1.25mm_Horizontal" (id 2) (at 124.46 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 124.46 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e195fe2a-6606-4c99-abbf-2ee6ce5791f0)) + (pin "10" (uuid 8d7dceb6-6dc4-4f80-a593-1c424f4a49f8)) + (pin "11" (uuid 1bb07f97-862a-48ec-9831-47ce71c2d3b5)) + (pin "12" (uuid a9af9cd7-63b5-491d-b0de-aa4f6431da25)) + (pin "13" (uuid 63c04563-e3aa-4ee0-b084-c503d7664b3e)) + (pin "14" (uuid 1b70f2da-dc5c-4332-84f6-afd53a0b19f6)) + (pin "2" (uuid a81b7eaf-9815-46ff-bce1-f538e3125872)) + (pin "3" (uuid 6cf3c87f-b79a-46ff-bb9b-995ed9eaa43f)) + (pin "4" (uuid 84bd4a8f-4c0a-452e-9bd9-62f7ffd6e67c)) + (pin "5" (uuid 134a6d7d-1c2b-41b8-9c14-743f92e431f5)) + (pin "6" (uuid cf995505-729c-40c7-95c8-edfce0657971)) + (pin "7" (uuid 9e5bb9a8-32a7-474e-933e-e379a87775e9)) + (pin "8" (uuid 2ad62163-dc78-406a-9245-605bec9b00fa)) + (pin "9" (uuid 711f3f53-71be-4096-be14-bf347dab7c23)) + ) + + (symbol (lib_id "power:GND") (at 130.81 88.9 90) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid bb07f85a-0fc3-408d-9733-21d215adf9ee) + (property "Reference" "#PWR0103" (id 0) (at 137.16 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (id 1) (at 134.62 88.8999 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "" (id 2) (at 130.81 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (id 3) (at 130.81 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1ea63e96-7d1a-4267-9884-7fbb1be99e37)) + ) + + (symbol (lib_id "power:PWR_FLAG") (at 74.93 109.22 270) (unit 1) + (in_bom yes) (on_board yes) + (uuid c67169cc-7c52-4a66-bed8-f2f96489d82c) + (property "Reference" "#FLG0101" (id 0) (at 76.835 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "PWR_FLAG" (id 1) (at 82.55 109.22 90)) + (property "Footprint" "" (id 2) (at 74.93 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (id 3) (at 74.93 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fb47d9fc-795f-41f7-8806-fc330c698bc0)) + ) + + (symbol (lib_id "Connector:Conn_Coaxial") (at 133.35 134.62 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (fields_autoplaced) + (uuid dd87a3f1-d015-44f9-8f09-63bc9fedb74d) + (property "Reference" "J102" (id 0) (at 133.6674 127 0)) + (property "Value" "Conn_Coaxial" (id 1) (at 133.6674 129.54 0)) + (property "Footprint" "Connector_Coaxial:U.FL_Hirose_U.FL-R-SMT-1_Vertical" (id 2) (at 133.35 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" " ~" (id 3) (at 133.35 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bca8886b-8bc6-42da-981a-4b516f4a7146)) + (pin "2" (uuid 8c1afb16-bf97-4cc6-86a9-7092eb68f395)) + ) + + (sheet (at 162.56 60.96) (size 39.37 35.56) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 3c19fda9-55de-469e-9693-2d8993bca106) + (property "Sheet name" "fpga" (id 0) (at 162.56 58.42 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "sidloc-schematic/fpga.kicad_sch" (id 1) (at 162.56 58.42 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "RXCLOCKN" input (at 193.04 96.52 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 57e5214d-024a-4f8e-b178-ae28ca92ab1c) + ) + (pin "FPGA_IO1" input (at 201.93 69.85 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7c5d9931-18f0-4e7e-baea-20af142772a5) + ) + (pin "FPGA_IO0" input (at 201.93 72.39 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a5f7f22c-2297-4499-8968-d6d852de406e) + ) + (pin "FPGA_INIT" input (at 162.56 78.74 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0b5df363-a7dc-4589-98ee-393869780f38) + ) + (pin "FPGA_PROG" input (at 201.93 74.93 0) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5d21444d-eb47-4214-8795-a451060261c0) + ) + (pin "FPGA_DONE" input (at 162.56 81.28 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid cf4d1705-04fa-49a1-b223-c5368082c2e3) + ) + (pin "FPGA_EN" input (at 162.56 83.82 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6e238991-1d4a-4ba9-be9f-0c92d6eabd4c) + ) + (pin "TXCLOCKN" input (at 181.61 96.52 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2be7b066-c2ff-480b-85f2-398b9dc58fb3) + ) + (pin "TXCLOCKP" input (at 179.07 96.52 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid aa87f79d-53e4-467d-939d-39a73c0938ae) + ) + (pin "RXDATAP" input (at 195.58 96.52 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid bf3a13cc-b4ce-43a4-9f7b-4c05fc38e450) + ) + (pin "RXCLOCKP" input (at 190.5 96.52 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d78b813c-f85e-4c14-a1af-0c96beb17d6b) + ) + (pin "TXDATAN" input (at 186.69 96.52 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 043f1c77-5888-4115-a2c2-88ace88fc722) + ) + (pin "TXDATAP" input (at 184.15 96.52 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e0a49e7d-5e07-4d48-9142-d1f0c2152a41) + ) + (pin "RXDATAN" input (at 198.12 96.52 270) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 121e20f3-9f96-4492-bde1-4332cd03f9d6) + ) + (pin "SPI_MISO" input (at 162.56 91.44 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a8548301-0942-4a81-83a9-359cb52e4c23) + ) + (pin "SPI_MOSI" input (at 162.56 93.98 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 65005d00-785c-47cc-ab46-46f216bd5f30) + ) + (pin "SPI_CLK" input (at 162.56 88.9 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid be9cc150-b788-472e-b8b7-9564a7ce402d) + ) + (pin "SPI_CS" input (at 162.56 86.36 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4c5443ba-edf6-4444-a9bc-07e9a30e9286) + ) + (pin "JTDO" input (at 162.56 74.93 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 56a04142-6453-41c1-ad11-ef9f2e789586) + ) + (pin "JTMS" input (at 162.56 69.85 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 46fb9ebc-48a1-43fb-b82f-c8a4945b5d70) + ) + (pin "JTDI" input (at 162.56 67.31 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d921ac40-acc6-423b-bfd8-c4851f3883ca) + ) + (pin "JTCK" input (at 162.56 72.39 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3914f76d-f2ae-4435-805c-109e991e4dbd) + ) + ) + + (sheet (at 162.56 102.87) (size 39.37 34.29) + (stroke (width 0.1524) (type solid) (color 0 0 0 0)) + (fill (color 0 0 0 0.0000)) + (uuid 7e10c94a-7591-4892-9351-18ebe562ea30) + (property "Sheet name" "transceiver" (id 0) (at 162.56 139.7 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) + ) + (property "Sheet file" "sidloc-schematic/transceiver.kicad_sch" (id 1) (at 162.56 139.7 0) + (effects (font (size 1.27 1.27)) (justify left top)) + ) + (pin "RST" input (at 162.56 119.38 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2523fb7d-bdf6-476e-87d5-a6e44e66f2d6) + ) + (pin "TXCLOCKN" input (at 181.61 102.87 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6b3d1abd-0dc7-4b87-a6e2-b3788061a91d) + ) + (pin "TXCLOCKP" input (at 179.07 102.87 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fda7166d-a59e-45b4-bfb9-c5bb3bfc76ec) + ) + (pin "TXDATAP" input (at 184.15 102.87 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4277dfab-25fc-49d0-90e8-0de709088f59) + ) + (pin "RXDATAP" input (at 195.58 102.87 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 918803af-90ac-48a1-abae-454dfcbabf0f) + ) + (pin "RXCLOCKP" input (at 190.5 102.87 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e0b786a3-bcaf-41c0-99ce-8c7f040aa44d) + ) + (pin "RXDATAN" input (at 198.12 102.87 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 93ecbc54-1069-4b0d-bb3e-7e6e55245785) + ) + (pin "TXDATAN" input (at 186.69 102.87 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 95215f6d-8b84-453e-a7c2-f344a2893873) + ) + (pin "RXCLOCKN" input (at 193.04 102.87 90) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 887ce0ba-13fe-4510-9754-6bc0fbe0d2d1) + ) + (pin "SPI_CS" input (at 162.56 113.03 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid eb85e919-6ed9-4b15-8c1d-1a3aa849fb16) + ) + (pin "SPI_MISO" input (at 162.56 107.95 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 54bf18f1-ceae-4653-b836-2895f448ff5c) + ) + (pin "SPI_CLK" input (at 162.56 105.41 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 369be60c-c456-43eb-bda9-0ae1128f132b) + ) + (pin "IRQ" input (at 162.56 116.84 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f239e11e-34af-438d-a4f5-e32e052016e7) + ) + (pin "SPI_MOSI" input (at 162.56 110.49 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2c9c4918-f67d-4db0-b81d-4f58470dfdd5) + ) + (pin "RF_EN" input (at 162.56 121.92 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c93a5e31-eea4-4322-af90-f4b37708d733) + ) + (pin "RFIO" input (at 162.56 134.62 180) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2567e369-0760-4dd2-b05f-910315719521) + ) + ) + + (sheet_instances + (path "/" (page "1")) + (path "/3c19fda9-55de-469e-9693-2d8993bca106" (page "2")) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30" (page "3")) + ) + + (symbol_instances + (path "/c67169cc-7c52-4a66-bed8-f2f96489d82c" + (reference "#FLG0101") (unit 1) (value "PWR_FLAG") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/edf9df0d-3793-4ab1-b26e-e3f4db4d6fde" + (reference "#FLG0104") (unit 1) (value "PWR_FLAG") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/d11c0390-fdb4-4d1f-bc8a-88eb3e45d80f" + (reference "#FLG0105") (unit 1) (value "PWR_FLAG") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/c1b78138-c11c-4fb6-bc53-1e102c698b86" + (reference "#FLG0601") (unit 1) (value "PWR_FLAG") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/8ec89ef7-e24e-4213-a6aa-776bc21b36ee" + (reference "#FLG0701") (unit 1) (value "PWR_FLAG") (footprint "") + ) + (path "/9821652c-06a2-4902-877d-da88ca3d9da6" + (reference "#PWR0101") (unit 1) (value "GND") (footprint "") + ) + (path "/9d81a245-9ee2-46b1-b9b5-47d9d0d09596" + (reference "#PWR0102") (unit 1) (value "GND") (footprint "") + ) + (path "/bb07f85a-0fc3-408d-9733-21d215adf9ee" + (reference "#PWR0103") (unit 1) (value "GND") (footprint "") + ) + (path "/4069af3d-0838-43d5-ad5a-f61bd44e2c45" + (reference "#PWR0104") (unit 1) (value "GND") (footprint "") + ) + (path "/45d67b03-0422-4603-ae20-02dec2110012" + (reference "#PWR0105") (unit 1) (value "GND") (footprint "") + ) + (path "/6fc1c8d4-77b3-47da-868d-76b70e0504f5" + (reference "#PWR0106") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/6e59773b-20cf-43bf-aecf-380aa682d29d" + (reference "#PWR0601") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/20baccf3-baef-4572-9ba4-8f47f2491fe9" + (reference "#PWR0602") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/7a1f25e2-5c2d-45f4-904f-880cd5ddafe4" + (reference "#PWR0603") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/4004404b-e2b8-448d-93be-db7b815eb8ea" + (reference "#PWR0604") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/71745e63-8a2d-43c0-b886-88b4e7684b4d" + (reference "#PWR0605") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/134b6c82-f06d-4e48-8376-571f1ccc539b" + (reference "#PWR0606") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/90bfb9f6-990b-417c-b62f-6c55347152d5" + (reference "#PWR0607") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/42bf9880-3dea-4d00-81a9-64231cc325ad" + (reference "#PWR0608") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/0cfb7355-0f26-413b-8a26-1c70ccbb7541" + (reference "#PWR0609") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/5a4d6bfd-f8b3-4981-8668-0ea5d63394c6" + (reference "#PWR0610") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/451db685-3db2-49ee-b82e-c57d358bb13e" + (reference "#PWR0611") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/d8abf568-bed0-48d8-a24e-fc44bedff660" + (reference "#PWR0612") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/5050a159-db2a-44fd-9cb7-b23f924f1275" + (reference "#PWR0613") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/4dff0a8e-15b6-4ad4-9af2-e1592dfea4bc" + (reference "#PWR0614") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/4258d610-1ff6-4aa8-b511-96320fe3dd00" + (reference "#PWR0615") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/b34c095e-0a33-4dc4-a957-421ea1d68830" + (reference "#PWR0616") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/6207a4a4-c02f-415b-8c6f-114d9f4776c5" + (reference "#PWR0617") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/ede29f59-bc96-4aee-b1b6-c6c9869e3ab7" + (reference "#PWR0618") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/7cb3efc2-a96a-4d2a-bca6-3122b07363fc" + (reference "#PWR0619") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/04a4cb43-3430-4099-8cd6-124df4ba20be" + (reference "#PWR0620") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/1661b19d-ca6c-4709-88e2-973801450625" + (reference "#PWR0621") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/124f113f-fb9a-4eed-be35-66b8a83a5ae8" + (reference "#PWR0622") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/91208aa5-36cc-4b8e-9ba6-58dc3bc0cd21" + (reference "#PWR0623") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/cb56eb0a-be33-4e4c-bbd9-cf0920244ab1" + (reference "#PWR0624") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/f94c34c0-495b-4a04-932f-a104dfbb4387" + (reference "#PWR0701") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/668d2714-2659-4f31-9b9d-e656d508e0f7" + (reference "#PWR0702") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/5a7a8c16-813c-4d39-bb38-4fe8173d3667" + (reference "#PWR0703") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/5bbadc66-c8cb-40bb-b849-bf0cfb5b9bfd" + (reference "#PWR0704") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/f7e200ad-0805-42f5-9f32-792f4044fcf7" + (reference "#PWR0705") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/635b68a2-f1b0-4934-ad88-3936c4efc5ed" + (reference "#PWR0706") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/c87a424a-a7eb-4797-a218-080412caf897" + (reference "#PWR0707") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/30df379c-3e0f-4489-9643-f52ab2869b47" + (reference "#PWR0708") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/59471a0d-1594-45da-b757-b7a334f78bef" + (reference "#PWR0709") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/50e2510b-285f-450d-924c-851068760a2c" + (reference "#PWR0710") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/39dd4899-db7b-4f42-9636-f6aaf1c5eb3e" + (reference "#PWR0711") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/18b4b621-25c8-482c-9585-8628f30a19db" + (reference "#PWR0712") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/579d842f-3904-450f-88a8-c83db7cf3e96" + (reference "#PWR0713") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/949992fd-23e1-4d44-880a-20e1537ad010" + (reference "#PWR0714") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/bb1ccad1-aac8-4064-b53d-1a0795f4b266" + (reference "#PWR0715") (unit 1) (value "GND") (footprint "") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/57e5a784-f76d-4139-9381-01cf4838c415" + (reference "#PWR0716") (unit 1) (value "GND") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/1cb092f9-e353-41c4-8ef0-c351ecbf79f4" + (reference "C601") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/55f95a5f-346b-40e7-9d47-3d3b43f40ad6" + (reference "C602") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/b505a834-86dd-4b6b-b361-b19a1551eee9" + (reference "C603") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/f38992c7-6309-45f1-87c0-ebd02f3d80ce" + (reference "C604") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/7e85520f-b47a-46e4-964d-7fec5199e71a" + (reference "C605") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/2f1a9ef1-daff-4fd8-bcb5-1aaf1512479e" + (reference "C606") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/50e85761-696c-43cf-a30a-bd0ef3b7a5ca" + (reference "C607") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/92cc2291-9e82-4dd8-9a9f-28825ad7b077" + (reference "C608") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/0080fc64-8a49-485b-9a40-b39c02149a47" + (reference "C609") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/5aaa3138-042e-486c-9cac-b262b4c3b9f4" + (reference "C610") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/8ede4b29-37ed-4111-88c3-5fb0d314c802" + (reference "C611") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/27725919-7234-4ff5-8add-5bdcc0cc4b38" + (reference "C612") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/b17ab544-06ef-458f-be3a-031c8c35d24d" + (reference "C613") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/a325f9bf-a085-43d6-b59a-6de18c3536db" + (reference "C614") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/ea4d5e3f-7353-47cf-806b-5376e57aed5f" + (reference "C615") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/fbcab784-1ffe-461b-aeff-61a12a498a1f" + (reference "C616") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/924a28f0-f588-4a15-b2a3-e9ae4f053176" + (reference "C617") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/6dde9c8e-54a1-48cc-805b-ad30469ebc80" + (reference "C618") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/2953b092-3ac0-4ea4-9fe0-7e2aaca83472" + (reference "C619") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/dc620c74-8d7e-4e1a-8b43-5beb5fd5341c" + (reference "C620") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/ce1a88c2-721a-4c90-8aba-cc8e70eccd3f" + (reference "C621") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/7977aac1-57df-42d0-bc23-818b227a8bf3" + (reference "C622") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/ffc3bfa5-5f93-4dc4-95dd-8d70c7c042e7" + (reference "C623") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/a451943c-d1be-409f-af01-78785c59a4b8" + (reference "C624") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/42968efd-bc48-460b-83ec-9dd1397f3eca" + (reference "C625") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/718c192c-0728-4591-b78d-90f05dc84724" + (reference "C626") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/ef815207-d8eb-4549-a685-7dc9ae2a7d27" + (reference "C627") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/0f31290b-b7ef-4a89-9582-7935fb2445d8" + (reference "C628") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/daa3d307-f0a8-4ff2-8b65-0ed71a6b6a5a" + (reference "C629") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/b4dacaf1-b0ce-4a4b-9dd9-3884ccab94c5" + (reference "C630") (unit 1) (value "10u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/e7e8ed8d-4df9-4204-93ee-c3c93707dcc6" + (reference "C701") (unit 1) (value "1n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/40d6773b-f995-4ae2-936b-0b3313e95fef" + (reference "C702") (unit 1) (value "10p") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/7f198ec7-c600-404b-8dab-5c59483f2f1b" + (reference "C703") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/efb7663b-478f-437d-8e78-460792d39854" + (reference "C704") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/dca9abab-49c2-4d6d-88f5-a24a9063e847" + (reference "C705") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/076eb927-3d46-4a02-9606-353f0ad48221" + (reference "C706") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/ce1565db-6500-4f31-a794-5c49cde70b8a" + (reference "C707") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/be0f6ff5-89d1-4987-92dc-0284b6ca8ea9" + (reference "C708") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/ea17384f-96f5-440c-89c7-f5a1bb809037" + (reference "C709") (unit 1) (value "100n") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/82ac7f36-0833-480e-b907-9745ca7ca4f9" + (reference "C710") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/4e99f48c-87f3-4642-b35c-94486f34e961" + (reference "C711") (unit 1) (value "1u") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/dc498d98-f25c-4794-8cda-adcd3dae7c5b" + (reference "C712") (unit 1) (value "100p") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/7e9591ad-94d2-4f24-a989-4627684296dd" + (reference "C713") (unit 1) (value "100p") (footprint "Capacitor_SMD:C_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/2b56563e-0e12-4baf-b355-75c24f5fba2a" + (reference "D601") (unit 1) (value "LED_Small") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/84dbf505-ea44-4872-be2e-3ed18d10cdee" + (reference "D602") (unit 1) (value "LED_Small") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/4eb9dc01-84e4-470a-bddd-336f2206d841" + (reference "FB601") (unit 1) (value "FerriteBead_Small") (footprint "Inductor_SMD:L_0603_1608Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/6fa439f0-7fe8-4a10-b53a-eb3892ad4bc6" + (reference "FB701") (unit 1) (value "FerriteBead_Small") (footprint "Inductor_SMD:L_0603_1608Metric") + ) + (path "/b7f72b91-9c14-412e-8d5b-9546c79f0c93" + (reference "J101") (unit 1) (value "MCU") (footprint "Connector_Molex:Molex_PicoBlade_53261-1471_1x14-1MP_P1.25mm_Horizontal") + ) + (path "/dd87a3f1-d015-44f9-8f09-63bc9fedb74d" + (reference "J102") (unit 1) (value "Conn_Coaxial") (footprint "Connector_Coaxial:U.FL_Hirose_U.FL-R-SMT-1_Vertical") + ) + (path "/9a51fd09-eca3-427d-8f96-6eda33983388" + (reference "J103") (unit 1) (value "JTAG") (footprint "Connector_Molex:Molex_PicoBlade_53261-0671_1x06-1MP_P1.25mm_Horizontal") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/bb0cf005-d008-4e1a-a46b-8325e571fb9d" + (reference "NT601") (unit 1) (value "Net-Tie_2") (footprint "NetTie:NetTie-2_SMD_Pad0.5mm") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/b4ed5b0d-3007-4698-9f7e-3c8aa45719af" + (reference "NT701") (unit 1) (value "Net-Tie_2") (footprint "NetTie:NetTie-2_SMD_Pad0.5mm") + ) + (path "/b32face3-6a09-493e-a59d-ec6310cc7169" + (reference "PQ101") (unit 1) (value "PQ9-Connector") (footprint "lsf-kicad-lib:PQ9") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/117817db-7422-46b9-88c0-38af53c73d1f" + (reference "Q601") (unit 1) (value "Q_NMOS_GSD") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/854eebfc-d5fa-46d4-ab6b-786e09ae93ca" + (reference "R601") (unit 1) (value "2.2k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/3874faef-2431-4e0f-b2e9-3c0223ae368f" + (reference "R602") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/f272fb89-1c5e-4b34-a0bf-70b29523f57d" + (reference "R603") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/c075e025-58fd-45d1-8a69-af7a9ca099d5" + (reference "R604") (unit 1) (value "100") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/75eb803c-de21-4f39-b6ec-834a97f286aa" + (reference "R605") (unit 1) (value "100") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/b98ce61b-de75-4fb2-b83c-9c0a085ac430" + (reference "R606") (unit 1) (value "0") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/0ff00802-4b6a-4529-bca6-ccac3f76a0d5" + (reference "R607") (unit 1) (value "0") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/63bf093a-bb92-4b04-b4f5-2d98729eae5c" + (reference "R608") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/ffde7cb4-b100-4ba4-8cd0-4659c9559f84" + (reference "R609") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/79db9f14-e4bb-4d45-8004-9f20fe2a7f5b" + (reference "R610") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/4cef2dba-0800-470b-bf8c-4a1d00eb3449" + (reference "R611") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/48e969a2-43af-4ce1-8a9c-2e53ac20c0a2" + (reference "R612") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/5170f994-716a-4a91-a691-8551abc5b42c" + (reference "R613") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/dabc1824-456b-4501-a26e-49cbc8763810" + (reference "R614") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/ea6b3fde-7307-470a-a8b8-e20791d5965a" + (reference "R615") (unit 1) (value "TBD") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/f78a285b-be9e-4741-b9e9-60bd01559d0b" + (reference "R616") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/3f6df2d0-d8ce-4c4d-874e-02f0769555a1" + (reference "R617") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/f58f6b7a-5abe-42d5-92a7-6524c54ddb0f" + (reference "R618") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/ba62a079-2ddd-41c4-923f-29aceb1a13e9" + (reference "R619") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/26d440d2-986b-424a-9f38-2d34dbde8186" + (reference "R620") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/c2f168e7-1d61-4706-946e-287606204505" + (reference "R621") (unit 1) (value "1k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/db030005-b299-4d6c-8655-d1d380caed4d" + (reference "R622") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/81987ca7-ed98-4877-a955-3899028a47b1" + (reference "R623") (unit 1) (value "4.7k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/87dc1565-4e60-4710-a87c-80f20d4d883c" + (reference "R701") (unit 1) (value "100") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/80aa8240-b182-45b1-bd96-4b2cb6340d6c" + (reference "R702") (unit 1) (value "100") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/732e8305-8542-4ec9-a6f9-891c40c52084" + (reference "R703") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/dfc3633f-55bc-4e6d-bdf0-26a787b4081c" + (reference "R704") (unit 1) (value "470") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/6d0c9227-9fa4-4fdd-a7a1-f5cf102ac4cd" + (reference "R705") (unit 1) (value "100k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/3e338bf1-74fa-49df-9a95-4c68e37a41a1" + (reference "R706") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/9afe1561-2eca-4b84-b2fb-3211f937f20e" + (reference "R707") (unit 1) (value "3.6k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/cc77dd8c-304d-4418-8696-764fa1ed0ac2" + (reference "R708") (unit 1) (value "10k") (footprint "Resistor_SMD:R_0402_1005Metric") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/e5c9e5a1-eb3c-47d6-be9a-b6b058a3d5d9" + (reference "RN701") (unit 1) (value "470") (footprint "Resistor_SMD:R_Array_Convex_4x0402") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/2a60f83f-710a-485c-8096-acba227c5800" + (reference "T701") (unit 1) (value "Transformer_1P_1S") (footprint "lsf-kicad-lib:ATB2012") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/0f8174be-f884-42ff-8bba-e1c18e703df4" + (reference "TP601") (unit 1) (value "3V3") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/ed458ecf-7791-4b18-8171-9d663e01cf31" + (reference "TP602") (unit 1) (value "2V5") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/4c61af3f-0c80-4a99-abda-a42b957edee4" + (reference "TP603") (unit 1) (value "1V1") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/b44fc5e5-cbc9-4f84-b155-32189c4dd57c" + (reference "TP604") (unit 1) (value "IO1") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/b6f1da83-c72b-4591-9bbd-22fdf79b7095" + (reference "TP605") (unit 1) (value "IO0") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/9325ef7e-3998-4a98-843a-4004f259ce47" + (reference "TP606") (unit 1) (value "GND") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/f515255d-bc47-4a30-814e-db03d3b02c27" + (reference "TP607") (unit 1) (value "OSC_OE") (footprint "TestPoint:TestPoint_Pad_D1.0mm") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/9d91262b-ae3a-44a3-b573-51b69c7d8855" + (reference "TP608") (unit 1) (value "GND") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/706e8940-8275-4fec-9841-867bd410d21e" + (reference "TP701") (unit 1) (value "TestPoint") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/1fc3251f-68ef-4f72-a209-18ab14ab73e6" + (reference "TP702") (unit 1) (value "TestPoint") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/0e441e9b-127f-4bc3-9162-215c89745050" + (reference "TP703") (unit 1) (value "TestPoint") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/6a30c802-8cc9-40ef-a454-dc69fc466dc3" + (reference "TP704") (unit 1) (value "TestPoint") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/a9ca37b3-d0be-4022-acd0-baf378937a34" + (reference "TP705") (unit 1) (value "TestPoint") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/0714f491-06f6-4d59-94af-d621f2a0fbb5" + (reference "TP706") (unit 1) (value "TestPoint") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/fc95e3c4-abd9-4e4f-9838-bf55ff3c4d47" + (reference "TP707") (unit 1) (value "TestPoint") (footprint "lsf-kicad-lib:TestPoint_D0.8mm_Mask-Only") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/8cbfc894-8ef6-4121-b719-79072e2f55cb" + (reference "U601") (unit 1) (value "TPS22950") (footprint "Package_BGA:Texas_DSBGA-6_0.9x1.4mm_Layout2x3_P0.5mm") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/6d760f61-a84f-42b5-9850-6894cff19109" + (reference "U602") (unit 1) (value "MIC5504-2.5YMT") (footprint "Package_DFN_QFN:UDFN-4-1EP_1x1mm_P0.65mm_EP0.48x0.48mm") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/439ecfb4-dc55-4fe1-92ba-2cda728bd464" + (reference "U603") (unit 1) (value "LP5912-1.1") (footprint "Package_SON:WSON-6-1EP_2x2mm_P0.65mm_EP1x1.6mm_ThermalVias") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/3ace8ec6-69f0-440a-a0d0-6974f2827dfc" + (reference "U604") (unit 1) (value "~") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/db5bd896-647a-495e-913d-c426a73dbde5" + (reference "U604") (unit 2) (value "~") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/708ae4a5-cc3f-4ad0-be7f-b39e38a69ee8" + (reference "U604") (unit 4) (value "~") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/30b1edbe-055a-4b96-902d-62c96aa7e53d" + (reference "U604") (unit 8) (value "~") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/401187eb-99f9-4660-afbf-3b7061bbc6c1" + (reference "U604") (unit 9) (value "~") (footprint "") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/eaadcced-de6a-4f90-8ebd-30f4a7a45054" + (reference "U605") (unit 1) (value "IS25LE512M-xM") (footprint "Package_SO:SOIC-16W_7.5x10.3mm_P1.27mm") + ) + (path "/3c19fda9-55de-469e-9693-2d8993bca106/6d8f3070-08b4-44bb-8a98-c3753cd21cad" + (reference "U606") (unit 1) (value "SiT1602BI-71-33E-26.000000E") (footprint "Crystal:Crystal_SMD_2016-4Pin_2.0x1.6mm") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/37a13556-8115-4188-a66b-25cd57806830" + (reference "U701") (unit 1) (value "TG2016SMN") (footprint "lsf-kicad-lib:TG2016SMN") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/e17292b9-af59-4b7a-b9f7-adfce246933a" + (reference "U702") (unit 1) (value "AT86RF215M") (footprint "Package_DFN_QFN:QFN-48-1EP_7x7mm_P0.5mm_EP5.6x5.6mm") + ) + (path "/7e10c94a-7591-4892-9351-18ebe562ea30/0396f9ad-23c9-4966-90f3-00359e10ab16" + (reference "U703") (unit 1) (value "TPS22950") (footprint "Package_BGA:Texas_DSBGA-6_0.9x1.4mm_Layout2x3_P0.5mm") + ) + ) )