diff --git a/sidloc-schematic b/sidloc-schematic index 21e81ae..cb2ff9e 160000 --- a/sidloc-schematic +++ b/sidloc-schematic @@ -1 +1 @@ -Subproject commit 21e81aed538599473ccaff7d072deeb2036dc96e +Subproject commit cb2ff9e057c787799211ebc1eae26b20e233083b diff --git a/sidloc-transceiver.kicad_sch b/sidloc-transceiver.kicad_sch index cb2c452..334d15b 100644 --- a/sidloc-transceiver.kicad_sch +++ b/sidloc-transceiver.kicad_sch @@ -435,22 +435,22 @@ ) ) - (junction (at 156.21 91.44) (diameter 0) (color 0 0 0 0) - (uuid 5eb1ff2d-fbda-4a1f-96e4-8b49c36dbcb3) + (junction (at 153.67 99.06) (diameter 0) (color 0 0 0 0) + (uuid 27215ec1-4fbf-439f-b6db-eca8f349b9f9) ) - (junction (at 158.75 88.9) (diameter 0) (color 0 0 0 0) - (uuid a5e53813-104e-4b27-b7e2-fd4743ce427f) + (junction (at 156.21 93.98) (diameter 0) (color 0 0 0 0) + (uuid 3ddcc97a-80d2-4945-9f96-8f736d9b5b09) + ) + (junction (at 158.75 91.44) (diameter 0) (color 0 0 0 0) + (uuid 8e69d661-c49f-419a-9bbd-d3a4241f537f) ) (junction (at 73.66 109.22) (diameter 0) (color 0 0 0 0) (uuid c3b581b7-19f5-4870-942a-dc3f2bf4b9fd) ) - (junction (at 153.67 93.98) (diameter 0) (color 0 0 0 0) - (uuid f94b0dac-9769-4c37-a563-fb51df7b95ee) - ) - (no_connect (at 203.2 69.85) (uuid 4c271ec7-9717-4a41-88f3-99ece63ff155)) - (no_connect (at 203.2 72.39) (uuid 4c271ec7-9717-4a41-88f3-99ece63ff156)) - (no_connect (at 203.2 74.93) (uuid 4c271ec7-9717-4a41-88f3-99ece63ff157)) + (no_connect (at 203.2 73.66) (uuid 4c271ec7-9717-4a41-88f3-99ece63ff155)) + (no_connect (at 203.2 76.2) (uuid 4c271ec7-9717-4a41-88f3-99ece63ff156)) + (no_connect (at 203.2 78.74) (uuid 4c271ec7-9717-4a41-88f3-99ece63ff157)) (no_connect (at 85.09 92.71) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bda)) (no_connect (at 85.09 85.09) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bdb)) (no_connect (at 85.09 87.63) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bdc)) @@ -458,9 +458,9 @@ (no_connect (at 85.09 97.79) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bde)) (no_connect (at 85.09 95.25) (uuid d90f2b49-5a95-4126-8809-b5037ebe7bdf)) - (wire (pts (xy 162.56 110.49) (xy 153.67 110.49)) + (wire (pts (xy 147.32 88.9) (xy 129.54 88.9)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 06cd48ea-cabd-4519-900f-446b50294309) + (uuid 0a609074-ee24-4a81-9bb1-68f589fd3d63) ) (wire (pts (xy 73.66 109.22) (xy 74.93 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -470,45 +470,57 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 0b8dd51a-648a-4565-8635-dcc78e38b84b) ) - (wire (pts (xy 190.5 96.52) (xy 190.5 102.87)) + (wire (pts (xy 190.5 100.33) (xy 190.5 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 151c7074-3ed1-4648-a0f2-8a15bda1c964) ) - (wire (pts (xy 151.13 50.8) (xy 151.13 52.07)) + (wire (pts (xy 129.54 109.22) (xy 142.24 109.22)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 195828b1-b90a-4af2-934f-095afa0cde02) + ) + (wire (pts (xy 152.4 50.8) (xy 152.4 52.07)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 19b75696-73dd-4cb5-bc2b-87bac5b836c3) ) - (wire (pts (xy 129.54 99.06) (xy 130.81 99.06)) + (wire (pts (xy 129.54 101.6) (xy 138.43 101.6)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 1f1a21da-db13-4178-846d-ec1371c02059) ) - (wire (pts (xy 143.51 121.92) (xy 162.56 121.92)) + (wire (pts (xy 139.7 121.92) (xy 162.56 121.92)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 2023b55e-122c-4f2f-a782-d36528961b79) ) - (wire (pts (xy 148.59 104.14) (xy 148.59 116.84)) + (wire (pts (xy 144.78 106.68) (xy 144.78 116.84)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 250269ac-5b93-4063-ab42-c5028b77d9e3) ) - (wire (pts (xy 198.12 96.52) (xy 198.12 102.87)) + (wire (pts (xy 198.12 100.33) (xy 198.12 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 25cb67e6-bdf0-4545-9a70-dbf114b1cfc3) ) - (wire (pts (xy 151.13 113.03) (xy 162.56 113.03)) + (wire (pts (xy 147.32 113.03) (xy 162.56 113.03)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 294323d0-d603-4886-9eb6-15eea011512d) ) - (wire (pts (xy 129.54 93.98) (xy 146.05 93.98)) + (wire (pts (xy 129.54 106.68) (xy 144.78 106.68)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3106f633-08ae-4b26-8aa6-65f6ab643dd2) + (uuid 2f84e702-d197-4d15-99d0-e226b68ed7c5) ) - (wire (pts (xy 138.43 50.8) (xy 138.43 74.93)) + (wire (pts (xy 158.75 105.41) (xy 158.75 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 300f1af7-ae84-4f66-aaed-cec3d68b3380) + ) + (wire (pts (xy 129.54 99.06) (xy 153.67 99.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 337722c0-7030-4228-bc91-bfd6663cb5af) + ) + (wire (pts (xy 139.7 50.8) (xy 139.7 76.2)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 33d4b82c-be1f-4195-8a94-385c2bb79b7b) ) - (wire (pts (xy 129.54 104.14) (xy 148.59 104.14)) + (wire (pts (xy 158.75 105.41) (xy 162.56 105.41)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 3c69879f-1119-4228-98c7-34a0c467c6e1) + (uuid 37b0004a-ed13-4719-8c0a-2627c18e1f21) ) (wire (pts (xy 83.82 82.55) (xy 85.09 82.55)) (stroke (width 0) (type default) (color 0 0 0 0)) @@ -518,63 +530,51 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 3e76f278-c7d2-4193-b4df-9a7c5293e042) ) - (wire (pts (xy 195.58 96.52) (xy 195.58 102.87)) + (wire (pts (xy 195.58 100.33) (xy 195.58 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4025cf95-7995-4e15-9f54-e9f485fbad80) ) - (wire (pts (xy 153.67 93.98) (xy 148.59 93.98)) + (wire (pts (xy 156.21 93.98) (xy 162.56 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 41a040c5-7cde-4d68-a745-d2f5b9a7ac59) + (uuid 42736cf3-e8a8-4f5e-91fd-d6c0774ac224) ) - (wire (pts (xy 143.51 88.9) (xy 143.51 91.44)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 42e4cb40-0ece-44cc-a8b7-9eeef37d8531) - ) - (wire (pts (xy 140.97 72.39) (xy 162.56 72.39)) + (wire (pts (xy 142.24 73.66) (xy 162.56 73.66)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 44466368-9d46-421d-9a68-2468cd20dc57) ) - (wire (pts (xy 158.75 105.41) (xy 158.75 88.9)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 455bd0a4-470f-400c-a091-71012fa99e92) - ) - (wire (pts (xy 201.93 74.93) (xy 203.2 74.93)) + (wire (pts (xy 201.93 78.74) (xy 203.2 78.74)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 473dec22-fafc-4568-b760-a109af927a37) ) + (wire (pts (xy 153.67 110.49) (xy 153.67 99.06)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 4b82e3d9-58f5-447b-9117-48ee626b3b92) + ) (wire (pts (xy 83.82 97.79) (xy 85.09 97.79)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 4b839b58-b4b0-4ad2-9ed1-be4ff0e6aac7) ) - (wire (pts (xy 129.54 81.28) (xy 162.56 81.28)) + (wire (pts (xy 153.67 99.06) (xy 162.56 99.06)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 56b9b74c-d280-4b44-8951-47ac0ac138b8) + (uuid 4d66ec37-21a6-4f9d-8bec-9d071ad19a07) ) - (wire (pts (xy 146.05 91.44) (xy 156.21 91.44)) + (wire (pts (xy 147.32 91.44) (xy 147.32 88.9)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 60f93e70-421b-4bdf-9fb9-b77a32029463) + (uuid 56559e5b-a7d0-4ccc-bb36-884fb37f7279) ) - (wire (pts (xy 156.21 91.44) (xy 156.21 107.95)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6361f867-d7fa-47a6-94cd-e8dd4560a96f) - ) - (wire (pts (xy 148.59 50.8) (xy 148.59 58.42)) + (wire (pts (xy 149.86 50.8) (xy 149.86 58.42)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 69c80c97-63ae-45b1-9df0-e8f6e2fdb152) ) - (wire (pts (xy 148.59 116.84) (xy 162.56 116.84)) + (wire (pts (xy 144.78 116.84) (xy 162.56 116.84)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6aac308e-f41f-4d78-bf94-890559caaa0b) ) - (wire (pts (xy 129.54 86.36) (xy 162.56 86.36)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 6b40e904-29a1-420b-bbce-e29c887cb4fd) - ) - (wire (pts (xy 179.07 96.52) (xy 179.07 102.87)) + (wire (pts (xy 179.07 100.33) (xy 179.07 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 6cbafc39-09a1-40c0-9b0e-503306fa9667) ) - (wire (pts (xy 201.93 72.39) (xy 203.2 72.39)) + (wire (pts (xy 201.93 76.2) (xy 203.2 76.2)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 71b26da1-c654-4bad-8bed-6a063f81c111) ) @@ -582,51 +582,55 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 750f1a8c-c00c-47d8-b4e8-1403017541e0) ) - (wire (pts (xy 143.51 91.44) (xy 129.54 91.44)) + (wire (pts (xy 144.78 50.8) (xy 144.78 71.12)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 77bcb4d8-7d6b-4a81-891d-32e190d6040f) + (uuid 75a25d47-44bc-464e-ade1-3dad59708189) ) - (wire (pts (xy 151.13 101.6) (xy 151.13 113.03)) + (wire (pts (xy 147.32 104.14) (xy 147.32 113.03)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 7b48a967-8c70-4b97-b403-39633b563db7) ) - (wire (pts (xy 148.59 93.98) (xy 148.59 96.52)) + (wire (pts (xy 158.75 91.44) (xy 147.32 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7d186d7b-bac7-4b15-999a-afecfb61e20e) + (uuid 8012ab31-6112-44ff-905c-e6c4ec76a323) ) - (wire (pts (xy 158.75 88.9) (xy 162.56 88.9)) + (wire (pts (xy 129.54 93.98) (xy 156.21 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 7f223f55-d10d-4dbb-ac77-777ee7989266) + (uuid 8014b124-7aad-4693-a7bd-225701a4204a) ) - (wire (pts (xy 146.05 106.68) (xy 146.05 119.38)) + (wire (pts (xy 142.24 109.22) (xy 142.24 119.38)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 856baa1f-9d12-410f-8653-de325b2723c5) ) - (wire (pts (xy 146.05 119.38) (xy 162.56 119.38)) + (wire (pts (xy 142.24 119.38) (xy 162.56 119.38)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 8c87065b-b532-4a1b-8228-43e3a907942f) ) - (wire (pts (xy 129.54 101.6) (xy 151.13 101.6)) + (wire (pts (xy 162.56 110.49) (xy 153.67 110.49)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 903dee27-bf16-4018-a82e-04d462a0781f) + (uuid 8ee88154-13dd-43b1-a137-cdbc3112b6fd) ) - (wire (pts (xy 193.04 96.52) (xy 193.04 102.87)) + (wire (pts (xy 162.56 91.44) (xy 158.75 91.44)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 8fb0cc54-8624-4d3b-a9a1-48b16ceda265) + ) + (wire (pts (xy 129.54 81.28) (xy 162.56 81.28)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid 90e37e03-96bd-4ce0-91b9-3fe4fad95fcc) + ) + (wire (pts (xy 193.04 100.33) (xy 193.04 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9328d83d-6da5-457b-92da-43fb4ead4b3f) ) - (wire (pts (xy 138.43 74.93) (xy 162.56 74.93)) + (wire (pts (xy 139.7 76.2) (xy 162.56 76.2)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 941a53ec-aa44-4aad-aeac-9a7ae2a35696) ) - (wire (pts (xy 153.67 93.98) (xy 153.67 110.49)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid 9420b75b-9182-418e-9b80-75498994b6bf) - ) (wire (pts (xy 73.66 107.95) (xy 73.66 109.22)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 94cbfaac-705a-40af-98ff-1aa3a3cbbce2) ) - (wire (pts (xy 186.69 96.52) (xy 186.69 102.87)) + (wire (pts (xy 186.69 100.33) (xy 186.69 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 98216cbf-b864-4f12-abfc-91e227bc2f0b) ) @@ -634,75 +638,63 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid 9c021667-8430-488e-9f3a-8cd4626c46dd) ) - (wire (pts (xy 181.61 96.52) (xy 181.61 102.87)) + (wire (pts (xy 181.61 100.33) (xy 181.61 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid a0dcfa43-cb74-4b18-9ad5-80709c5f2843) ) - (wire (pts (xy 162.56 107.95) (xy 156.21 107.95)) + (wire (pts (xy 129.54 111.76) (xy 139.7 111.76)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a230ab96-5008-4df0-b115-bd681dd2a0fb) - ) - (wire (pts (xy 129.54 109.22) (xy 143.51 109.22)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid a83460d4-2c0f-4e99-835e-42ad1c80369f) + (uuid ab0fe2da-71cb-4c31-9beb-75d40d659007) ) (wire (pts (xy 133.35 139.7) (xy 133.35 140.97)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid abb1acdd-bd6b-4e80-8c2d-dd9bea6f69f8) ) - (wire (pts (xy 129.54 78.74) (xy 162.56 78.74)) + (wire (pts (xy 156.21 107.95) (xy 156.21 93.98)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ae61e111-27d3-4d28-ab77-1d01753800eb) + (uuid b1c02fcb-f0e9-4bed-932e-0807e2265416) ) - (wire (pts (xy 146.05 50.8) (xy 146.05 67.31)) + (wire (pts (xy 147.32 50.8) (xy 147.32 68.58)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b1e89af9-52ed-4c6e-9b51-96c22418221c) ) - (wire (pts (xy 158.75 88.9) (xy 143.51 88.9)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid b611f6eb-a96a-4b55-8e90-d0490528bff8) - ) - (wire (pts (xy 184.15 96.52) (xy 184.15 102.87)) + (wire (pts (xy 184.15 100.33) (xy 184.15 102.87)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid b787f150-5ecd-4251-a43d-56c13d192e9e) ) - (wire (pts (xy 146.05 93.98) (xy 146.05 91.44)) + (wire (pts (xy 129.54 96.52) (xy 162.56 96.52)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ba791315-fd33-4abc-8743-49e4bc983cf6) + (uuid bd38502a-a293-4e5c-90fc-ef8edac1817c) ) - (wire (pts (xy 129.54 76.2) (xy 130.81 76.2)) + (wire (pts (xy 129.54 78.74) (xy 130.81 78.74)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid be3c5b2c-7253-422b-8224-2db6185cbefe) ) - (wire (pts (xy 148.59 96.52) (xy 129.54 96.52)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid bfa573d1-7a4c-40ac-83f2-a2d8f2a6286d) - ) - (wire (pts (xy 129.54 88.9) (xy 130.81 88.9)) + (wire (pts (xy 129.54 91.44) (xy 138.43 91.44)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid c2e58eea-4686-4f46-ab59-62c3964c2756) ) - (wire (pts (xy 140.97 50.8) (xy 140.97 72.39)) + (wire (pts (xy 129.54 83.82) (xy 162.56 83.82)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid c98b493f-5da2-4374-8196-48bbd3a1cb33) + ) + (wire (pts (xy 142.24 50.8) (xy 142.24 73.66)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cbe99002-3f5a-4663-ba43-f903bf583048) ) - (wire (pts (xy 156.21 91.44) (xy 162.56 91.44)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid cc68d520-8896-47ec-9aea-fe1abed45c25) - ) - (wire (pts (xy 143.51 69.85) (xy 162.56 69.85)) + (wire (pts (xy 144.78 71.12) (xy 162.56 71.12)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid cdf2dc45-416f-45e1-94e6-84ab2c1f1447) ) - (wire (pts (xy 143.51 50.8) (xy 143.51 69.85)) + (wire (pts (xy 156.21 107.95) (xy 162.56 107.95)) (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid ce6373f8-e22d-45e4-ac26-4241b12048f5) + (uuid cfa25a26-99d5-4064-8866-f923e097116b) ) - (wire (pts (xy 201.93 69.85) (xy 203.2 69.85)) + (wire (pts (xy 201.93 73.66) (xy 203.2 73.66)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid d2fe63ce-6c5a-4a29-8c9c-d4a640117f12) ) - (wire (pts (xy 146.05 67.31) (xy 162.56 67.31)) + (wire (pts (xy 147.32 68.58) (xy 162.56 68.58)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid daffee72-f993-4e9d-b338-f3e4ff46aa72) ) @@ -710,48 +702,40 @@ (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e02ec384-7e15-4936-9acc-f874b79590f2) ) - (wire (pts (xy 143.51 109.22) (xy 143.51 121.92)) + (wire (pts (xy 129.54 86.36) (xy 162.56 86.36)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid e0c66e1c-ab36-40f6-a4c9-079ed42b9f3b) + ) + (wire (pts (xy 139.7 111.76) (xy 139.7 121.92)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid e7d7d974-6a17-4028-b531-5f3aebff925f) ) + (wire (pts (xy 129.54 104.14) (xy 147.32 104.14)) + (stroke (width 0) (type default) (color 0 0 0 0)) + (uuid eb64465a-adaf-45e3-bf22-6ba76f038df8) + ) (wire (pts (xy 73.66 109.22) (xy 73.66 110.49)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid ecb39cff-8fa9-41ed-8f99-303fa5c0db8c) ) - (wire (pts (xy 162.56 105.41) (xy 158.75 105.41)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f6681789-a8aa-4fcf-bd5b-076043ec309c) - ) - (wire (pts (xy 129.54 106.68) (xy 146.05 106.68)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid f8150292-c8d0-41ff-b0e9-72f3fc062051) - ) - (wire (pts (xy 153.67 93.98) (xy 162.56 93.98)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fd6d39c7-dad9-4b3e-b6a2-9f1786d1f082) - ) (wire (pts (xy 83.82 92.71) (xy 85.09 92.71)) (stroke (width 0) (type default) (color 0 0 0 0)) (uuid fe2a285a-afeb-4a58-96ae-4df26c9546fc) ) - (wire (pts (xy 129.54 83.82) (xy 162.56 83.82)) - (stroke (width 0) (type default) (color 0 0 0 0)) - (uuid fec1ca6b-cfc9-4276-9a1a-89aaaef76baf) - ) - (label "SPI_CS2" (at 160.02 113.03 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) + (label "SPI_CS2" (at 130.81 104.14 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid af77aa03-48d4-40d8-8c17-f63dee70e76a) ) - (label "SPI_CS1" (at 160.02 86.36 180) - (effects (font (size 1.27 1.27)) (justify right bottom)) + (label "SPI_CS1" (at 130.81 99.06 0) + (effects (font (size 1.27 1.27)) (justify left bottom)) (uuid e154ca3e-173b-4da9-b838-c378f31c1204) ) - (global_label "3V3" (shape input) (at 151.13 52.07 270) (fields_autoplaced) + (global_label "3V3" (shape input) (at 152.4 52.07 270) (fields_autoplaced) (effects (font (size 1.27 1.27)) (justify right)) (uuid 4924c6a8-ada1-40f2-9894-f9912e62fbe9) - (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 151.2094 57.9907 90) + (property "Intersheet References" "${INTERSHEET_REFS}" (id 0) (at 152.4794 57.9907 90) (effects (font (size 1.27 1.27)) (justify right) hide) ) ) @@ -763,19 +747,19 @@ ) ) - (symbol (lib_id "power:GND") (at 148.59 58.42 0) (unit 1) + (symbol (lib_id "power:GND") (at 149.86 58.42 0) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 4069af3d-0838-43d5-ad5a-f61bd44e2c45) - (property "Reference" "#PWR0104" (id 0) (at 148.59 64.77 0) + (property "Reference" "#PWR0104" (id 0) (at 149.86 64.77 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 148.5901 62.23 90) + (property "Value" "GND" (id 1) (at 149.8601 62.23 90) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Footprint" "" (id 2) (at 148.59 58.42 0) + (property "Footprint" "" (id 2) (at 149.86 58.42 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 148.59 58.42 0) + (property "Datasheet" "" (id 3) (at 149.86 58.42 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ac213fc3-e990-496c-86ca-b127a21863ea)) @@ -797,19 +781,19 @@ (pin "1" (uuid bd6b4091-e410-4675-ab93-98b0ef711b6f)) ) - (symbol (lib_id "power:GND") (at 130.81 99.06 90) (unit 1) + (symbol (lib_id "power:GND") (at 138.43 101.6 90) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 6fc1c8d4-77b3-47da-868d-76b70e0504f5) - (property "Reference" "#PWR0106" (id 0) (at 137.16 99.06 0) + (property "Reference" "#PWR0106" (id 0) (at 144.78 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 134.62 99.0599 90) + (property "Value" "GND" (id 1) (at 142.24 101.5999 90) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Footprint" "" (id 2) (at 130.81 99.06 0) + (property "Footprint" "" (id 2) (at 138.43 101.6 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 130.81 99.06 0) + (property "Datasheet" "" (id 3) (at 138.43 101.6 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 2e2f50ee-5f6a-404c-b1f2-df777d620e3f)) @@ -831,15 +815,15 @@ (pin "1" (uuid 1a893cb8-e786-4c16-8c1e-243f251159a7)) ) - (symbol (lib_id "Connector_Generic:Conn_01x06") (at 143.51 45.72 90) (unit 1) + (symbol (lib_id "Connector_Generic:Conn_01x06") (at 144.78 45.72 90) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid 9a51fd09-eca3-427d-8f96-6eda33983388) - (property "Reference" "J103" (id 0) (at 144.78 39.37 90)) - (property "Value" "JTAG" (id 1) (at 144.78 41.91 90)) - (property "Footprint" "Connector_Molex:Molex_PicoBlade_53261-0671_1x06-1MP_P1.25mm_Horizontal" (id 2) (at 143.51 45.72 0) + (property "Reference" "J103" (id 0) (at 146.05 39.37 90)) + (property "Value" "JTAG" (id 1) (at 146.05 41.91 90)) + (property "Footprint" "Connector_Molex:Molex_PicoBlade_53261-0671_1x06-1MP_P1.25mm_Horizontal" (id 2) (at 144.78 45.72 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 143.51 45.72 0) + (property "Datasheet" "~" (id 3) (at 144.78 45.72 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid ae0f44da-9a24-4451-89e0-9e5c95fe5d0e)) @@ -850,19 +834,19 @@ (pin "6" (uuid 4fd3be58-23ac-46e0-b9dc-663f93394da7)) ) - (symbol (lib_id "power:GND") (at 130.81 76.2 90) (unit 1) - (in_bom yes) (on_board yes) (fields_autoplaced) + (symbol (lib_id "power:GND") (at 130.81 78.74 90) (unit 1) + (in_bom yes) (on_board yes) (uuid 9d81a245-9ee2-46b1-b9b5-47d9d0d09596) - (property "Reference" "#PWR0102" (id 0) (at 137.16 76.2 0) + (property "Reference" "#PWR0102" (id 0) (at 137.16 78.74 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 134.62 76.1999 90) + (property "Value" "GND" (id 1) (at 134.62 78.7399 90) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Footprint" "" (id 2) (at 130.81 76.2 0) + (property "Footprint" "" (id 2) (at 130.81 78.74 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 130.81 76.2 0) + (property "Datasheet" "" (id 3) (at 130.81 78.74 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 5b4369a7-d988-433b-8373-0c269ef18754)) @@ -890,15 +874,15 @@ (pin "9" (uuid 48b50ee1-6e1e-4122-8b01-d4ee2658cd5a)) ) - (symbol (lib_id "Connector_Generic:Conn_01x14") (at 124.46 93.98 180) (unit 1) + (symbol (lib_id "Connector_Generic:Conn_01x14") (at 124.46 96.52 180) (unit 1) (in_bom yes) (on_board yes) (uuid b7f72b91-9c14-412e-8d5b-9546c79f0c93) - (property "Reference" "J101" (id 0) (at 118.11 93.98 90)) - (property "Value" "MCU" (id 1) (at 120.65 93.98 90)) - (property "Footprint" "Connector_Molex:Molex_PicoBlade_53261-1471_1x14-1MP_P1.25mm_Horizontal" (id 2) (at 124.46 93.98 0) + (property "Reference" "J101" (id 0) (at 118.11 96.52 90)) + (property "Value" "MCU" (id 1) (at 120.65 96.52 90)) + (property "Footprint" "Connector_Molex:Molex_PicoBlade_53261-1471_1x14-1MP_P1.25mm_Horizontal" (id 2) (at 124.46 96.52 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "~" (id 3) (at 124.46 93.98 0) + (property "Datasheet" "~" (id 3) (at 124.46 96.52 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid e195fe2a-6606-4c99-abbf-2ee6ce5791f0)) @@ -917,19 +901,19 @@ (pin "9" (uuid 711f3f53-71be-4096-be14-bf347dab7c23)) ) - (symbol (lib_id "power:GND") (at 130.81 88.9 90) (unit 1) + (symbol (lib_id "power:GND") (at 138.43 91.44 90) (unit 1) (in_bom yes) (on_board yes) (fields_autoplaced) (uuid bb07f85a-0fc3-408d-9733-21d215adf9ee) - (property "Reference" "#PWR0103" (id 0) (at 137.16 88.9 0) + (property "Reference" "#PWR0103" (id 0) (at 144.78 91.44 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Value" "GND" (id 1) (at 134.62 88.8999 90) + (property "Value" "GND" (id 1) (at 142.24 91.4399 90) (effects (font (size 1.27 1.27)) (justify right)) ) - (property "Footprint" "" (id 2) (at 130.81 88.9 0) + (property "Footprint" "" (id 2) (at 138.43 91.44 0) (effects (font (size 1.27 1.27)) hide) ) - (property "Datasheet" "" (id 3) (at 130.81 88.9 0) + (property "Datasheet" "" (id 3) (at 138.43 91.44 0) (effects (font (size 1.27 1.27)) hide) ) (pin "1" (uuid 1ea63e96-7d1a-4267-9884-7fbb1be99e37)) @@ -966,101 +950,101 @@ (pin "2" (uuid 8c1afb16-bf97-4cc6-86a9-7092eb68f395)) ) - (sheet (at 162.56 60.96) (size 39.37 35.56) + (sheet (at 162.56 64.77) (size 39.37 35.56) (stroke (width 0.1524) (type solid) (color 0 0 0 0)) (fill (color 0 0 0 0.0000)) (uuid 3c19fda9-55de-469e-9693-2d8993bca106) - (property "Sheet name" "fpga" (id 0) (at 162.56 58.42 0) + (property "Sheet name" "fpga" (id 0) (at 162.56 62.23 0) (effects (font (size 1.27 1.27)) (justify left bottom)) ) - (property "Sheet file" "sidloc-schematic/fpga.kicad_sch" (id 1) (at 162.56 58.42 0) + (property "Sheet file" "sidloc-schematic/fpga.kicad_sch" (id 1) (at 162.56 62.23 0) (effects (font (size 1.27 1.27)) (justify left top)) ) - (pin "RXCLOCKN" input (at 193.04 96.52 270) + (pin "RXCLOCKN" input (at 193.04 100.33 270) (effects (font (size 1.27 1.27)) (justify left)) (uuid 57e5214d-024a-4f8e-b178-ae28ca92ab1c) ) - (pin "FPGA_IO1" input (at 201.93 69.85 0) + (pin "FPGA_IO1" input (at 201.93 73.66 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 7c5d9931-18f0-4e7e-baea-20af142772a5) ) - (pin "FPGA_IO0" input (at 201.93 72.39 0) + (pin "FPGA_IO0" input (at 201.93 76.2 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid a5f7f22c-2297-4499-8968-d6d852de406e) ) - (pin "FPGA_INIT" input (at 162.56 78.74 180) + (pin "FPGA_INIT" input (at 162.56 81.28 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 0b5df363-a7dc-4589-98ee-393869780f38) ) - (pin "FPGA_PROG" input (at 201.93 74.93 0) + (pin "FPGA_PROG" input (at 201.93 78.74 0) (effects (font (size 1.27 1.27)) (justify right)) (uuid 5d21444d-eb47-4214-8795-a451060261c0) ) - (pin "FPGA_DONE" input (at 162.56 81.28 180) + (pin "FPGA_DONE" input (at 162.56 83.82 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid cf4d1705-04fa-49a1-b223-c5368082c2e3) ) - (pin "FPGA_EN" input (at 162.56 83.82 180) + (pin "FPGA_EN" input (at 162.56 86.36 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 6e238991-1d4a-4ba9-be9f-0c92d6eabd4c) ) - (pin "TXCLOCKN" input (at 181.61 96.52 270) + (pin "TXCLOCKN" input (at 181.61 100.33 270) (effects (font (size 1.27 1.27)) (justify left)) (uuid 2be7b066-c2ff-480b-85f2-398b9dc58fb3) ) - (pin "TXCLOCKP" input (at 179.07 96.52 270) + (pin "TXCLOCKP" input (at 179.07 100.33 270) (effects (font (size 1.27 1.27)) (justify left)) (uuid aa87f79d-53e4-467d-939d-39a73c0938ae) ) - (pin "RXDATAP" input (at 195.58 96.52 270) + (pin "RXDATAP" input (at 195.58 100.33 270) (effects (font (size 1.27 1.27)) (justify left)) (uuid bf3a13cc-b4ce-43a4-9f7b-4c05fc38e450) ) - (pin "RXCLOCKP" input (at 190.5 96.52 270) + (pin "RXCLOCKP" input (at 190.5 100.33 270) (effects (font (size 1.27 1.27)) (justify left)) (uuid d78b813c-f85e-4c14-a1af-0c96beb17d6b) ) - (pin "TXDATAN" input (at 186.69 96.52 270) + (pin "TXDATAN" input (at 186.69 100.33 270) (effects (font (size 1.27 1.27)) (justify left)) (uuid 043f1c77-5888-4115-a2c2-88ace88fc722) ) - (pin "TXDATAP" input (at 184.15 96.52 270) + (pin "TXDATAP" input (at 184.15 100.33 270) (effects (font (size 1.27 1.27)) (justify left)) (uuid e0a49e7d-5e07-4d48-9142-d1f0c2152a41) ) - (pin "RXDATAN" input (at 198.12 96.52 270) + (pin "RXDATAN" input (at 198.12 100.33 270) (effects (font (size 1.27 1.27)) (justify left)) (uuid 121e20f3-9f96-4492-bde1-4332cd03f9d6) ) - (pin "SPI_MISO" input (at 162.56 91.44 180) + (pin "SPI_MISO" input (at 162.56 93.98 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid a8548301-0942-4a81-83a9-359cb52e4c23) ) - (pin "SPI_MOSI" input (at 162.56 93.98 180) + (pin "SPI_MOSI" input (at 162.56 91.44 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 65005d00-785c-47cc-ab46-46f216bd5f30) ) - (pin "SPI_CLK" input (at 162.56 88.9 180) + (pin "SPI_CLK" input (at 162.56 96.52 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid be9cc150-b788-472e-b8b7-9564a7ce402d) ) - (pin "SPI_CS" input (at 162.56 86.36 180) + (pin "SPI_CS" input (at 162.56 99.06 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 4c5443ba-edf6-4444-a9bc-07e9a30e9286) ) - (pin "JTDO" input (at 162.56 74.93 180) + (pin "JTDO" input (at 162.56 76.2 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 56a04142-6453-41c1-ad11-ef9f2e789586) ) - (pin "JTMS" input (at 162.56 69.85 180) + (pin "JTMS" input (at 162.56 71.12 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 46fb9ebc-48a1-43fb-b82f-c8a4945b5d70) ) - (pin "JTDI" input (at 162.56 67.31 180) + (pin "JTDI" input (at 162.56 68.58 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid d921ac40-acc6-423b-bfd8-c4851f3883ca) ) - (pin "JTCK" input (at 162.56 72.39 180) + (pin "JTCK" input (at 162.56 73.66 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 3914f76d-f2ae-4435-805c-109e991e4dbd) ) @@ -1120,7 +1104,7 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid 54bf18f1-ceae-4653-b836-2895f448ff5c) ) - (pin "SPI_CLK" input (at 162.56 105.41 180) + (pin "SPI_CLK" input (at 162.56 110.49 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 369be60c-c456-43eb-bda9-0ae1128f132b) ) @@ -1128,7 +1112,7 @@ (effects (font (size 1.27 1.27)) (justify left)) (uuid f239e11e-34af-438d-a4f5-e32e052016e7) ) - (pin "SPI_MOSI" input (at 162.56 110.49 180) + (pin "SPI_MOSI" input (at 162.56 105.41 180) (effects (font (size 1.27 1.27)) (justify left)) (uuid 2c9c4918-f67d-4db0-b81d-4f58470dfdd5) )