satnogs-rotator-controller/satnogs_encoder/encoder_as5601/encoder_as5601.kicad_pcb

955 lines
67 KiB
Plaintext
Raw Normal View History

(kicad_pcb (version 4) (host pcbnew 4.0.3-1.fc24-product)
(general
(links 20)
(no_connects 0)
(area 120.574999 76.124999 146.125001 101.675001)
(thickness 1.6)
(drawings 9)
(tracks 61)
(zones 0)
(modules 12)
(nets 10)
)
(page A4)
(layers
(0 F.Cu signal)
(31 B.Cu signal)
(32 B.Adhes user)
(33 F.Adhes user)
(34 B.Paste user)
(35 F.Paste user)
(36 B.SilkS user)
(37 F.SilkS user)
(38 B.Mask user)
(39 F.Mask user)
(40 Dwgs.User user)
(41 Cmts.User user)
(42 Eco1.User user)
(43 Eco2.User user)
(44 Edge.Cuts user)
(45 Margin user)
(46 B.CrtYd user)
(47 F.CrtYd user)
(48 B.Fab user)
(49 F.Fab user)
)
(setup
(last_trace_width 0.6)
(trace_clearance 0.2)
(zone_clearance 0.4)
(zone_45_only no)
(trace_min 0.2)
(segment_width 0.2)
(edge_width 0.15)
(via_size 0.8)
(via_drill 0.4)
(via_min_size 0.4)
(via_min_drill 0.3)
(uvia_size 0.3)
(uvia_drill 0.1)
(uvias_allowed no)
(uvia_min_size 0.2)
(uvia_min_drill 0.1)
(pcb_text_width 0.3)
(pcb_text_size 1.5 1.5)
(mod_edge_width 0.15)
(mod_text_size 1 1)
(mod_text_width 0.15)
(pad_size 1.524 1.524)
(pad_drill 0.762)
(pad_to_mask_clearance 0.2)
(aux_axis_origin 0 0)
(grid_origin 120.65 101.6)
(visible_elements FFFFFF7F)
(pcbplotparams
(layerselection 0x01000_80000001)
(usegerberextensions false)
(excludeedgelayer true)
(linewidth 0.100000)
(plotframeref false)
(viasonmask false)
(mode 1)
(useauxorigin false)
(hpglpennumber 1)
(hpglpenspeed 20)
(hpglpendiameter 15)
(hpglpenoverlay 2)
(psnegative false)
(psa4output false)
(plotreference true)
(plotvalue true)
(plotinvisibletext false)
(padsonsilk false)
(subtractmaskfromsilk false)
(outputformat 1)
(mirror false)
(drillshape 0)
(scaleselection 1)
(outputdirectory gerber/))
)
(net 0 "")
(net 1 GND)
(net 2 "Net-(C2-Pad1)")
(net 3 /SDA)
(net 4 /SCL)
(net 5 "Net-(U1-Pad3)")
(net 6 "Net-(U1-Pad5)")
(net 7 "Net-(U1-Pad8)")
(net 8 +5V)
(net 9 "Net-(P1-Pad1)")
(net_class Default "This is the default net class."
(clearance 0.2)
(trace_width 0.6)
(via_dia 0.8)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net "Net-(U1-Pad3)")
(add_net "Net-(U1-Pad5)")
(add_net "Net-(U1-Pad8)")
)
(net_class power ""
(clearance 0.2)
(trace_width 0.6)
(via_dia 0.8)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net +5V)
(add_net GND)
(add_net "Net-(C2-Pad1)")
(add_net "Net-(P1-Pad1)")
)
(net_class signals ""
(clearance 0.2)
(trace_width 0.6)
(via_dia 0.8)
(via_drill 0.4)
(uvia_dia 0.3)
(uvia_drill 0.1)
(add_net /SCL)
(add_net /SDA)
)
(module Resistors_SMD:R_0805_HandSoldering (layer F.Cu) (tedit 57D2B7A7) (tstamp 57D29650)
(at 137.16 83.185 270)
(descr "Resistor SMD 0805, hand soldering")
(tags "resistor 0805")
(path /56B9DE8B)
(attr smd)
(fp_text reference R1 (at -3.81 0 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 4k7 (at 0 2.1 270) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.4 -1) (end 2.4 -1) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.4 1) (end 2.4 1) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.4 -1) (end -2.4 1) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.4 -1) (end 2.4 1) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.6 0.875) (end -0.6 0.875) (layer F.SilkS) (width 0.15))
(fp_line (start -0.6 -0.875) (end 0.6 -0.875) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -1.35 0 270) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask)
(net 8 +5V))
(pad 2 smd rect (at 1.35 0 270) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask)
(net 4 /SCL))
(model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Housings_SOIC:SOIC-8_3.9x4.9mm_Pitch1.27mm (layer F.Cu) (tedit 57D2B7B3) (tstamp 57D29678)
(at 133.35 88.9)
(descr "8-Lead Plastic Small Outline (SN) - Narrow, 3.90 mm Body [SOIC] (see Microchip Packaging Specification 00000049BS.pdf)")
(tags "SOIC 1.27")
(path /56B9A895)
(attr smd)
(fp_text reference U1 (at -5.08 1.905 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value AS5601 (at 0 3.5) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center -1.5 -2) (end -1.75 -2) (layer F.Fab) (width 0.15))
(fp_line (start -1.95 -2.45) (end -1.95 2.45) (layer F.Fab) (width 0.15))
(fp_line (start 1.95 -2.45) (end -1.95 -2.45) (layer F.Fab) (width 0.15))
(fp_line (start 1.95 2.45) (end 1.95 -2.45) (layer F.Fab) (width 0.15))
(fp_line (start -1.95 2.45) (end 1.95 2.45) (layer F.Fab) (width 0.15))
(fp_line (start -3.75 -2.75) (end -3.75 2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start 3.75 -2.75) (end 3.75 2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.75 -2.75) (end 3.75 -2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.75 2.75) (end 3.75 2.75) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.075 -2.575) (end -2.075 -2.525) (layer F.SilkS) (width 0.15))
(fp_line (start 2.075 -2.575) (end 2.075 -2.43) (layer F.SilkS) (width 0.15))
(fp_line (start 2.075 2.575) (end 2.075 2.43) (layer F.SilkS) (width 0.15))
(fp_line (start -2.075 2.575) (end -2.075 2.43) (layer F.SilkS) (width 0.15))
(fp_line (start -2.075 -2.575) (end 2.075 -2.575) (layer F.SilkS) (width 0.15))
(fp_line (start -2.075 2.575) (end 2.075 2.575) (layer F.SilkS) (width 0.15))
(fp_line (start -2.075 -2.525) (end -3.475 -2.525) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -2.7 -1.905) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 8 +5V))
(pad 2 smd rect (at -2.7 -0.635) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 2 "Net-(C2-Pad1)"))
(pad 3 smd rect (at -2.7 0.635) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 5 "Net-(U1-Pad3)"))
(pad 4 smd rect (at -2.7 1.905) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(pad 5 smd rect (at 2.7 1.905) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 6 "Net-(U1-Pad5)"))
(pad 6 smd rect (at 2.7 0.635) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 3 /SDA))
(pad 7 smd rect (at 2.7 -0.635) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 4 /SCL))
(pad 8 smd rect (at 2.7 -1.905) (size 1.55 0.6) (layers F.Cu F.Paste F.Mask)
(net 7 "Net-(U1-Pad8)"))
(model Housings_SOIC.3dshapes/SOIC-8_3.9x4.9mm_Pitch1.27mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitors_SMD:C_0805_HandSoldering (layer F.Cu) (tedit 57D2B7A2) (tstamp 57D29613)
(at 131.445 83.185 90)
(descr "Capacitor SMD 0805, hand soldering")
(tags "capacitor 0805")
(path /56B9AC87)
(attr smd)
(fp_text reference C1 (at 3.81 0 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 100n (at 0 2.1 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.3 -1) (end 2.3 -1) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 1) (end 2.3 1) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 -1) (end -2.3 1) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 -1) (end 2.3 1) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15))
(fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -1.25 0 90) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask)
(net 8 +5V))
(pad 2 smd rect (at 1.25 0 90) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model Capacitors_SMD.3dshapes/C_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Capacitors_SMD:C_0805_HandSoldering (layer F.Cu) (tedit 57D2B79E) (tstamp 57D2961F)
(at 128.905 83.185 90)
(descr "Capacitor SMD 0805, hand soldering")
(tags "capacitor 0805")
(path /56B9ACF0)
(attr smd)
(fp_text reference C2 (at 3.81 0 90) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 1u (at 0 2.1 90) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.3 -1) (end 2.3 -1) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 1) (end 2.3 1) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.3 -1) (end -2.3 1) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.3 -1) (end 2.3 1) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.5 -0.85) (end -0.5 -0.85) (layer F.SilkS) (width 0.15))
(fp_line (start -0.5 0.85) (end 0.5 0.85) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -1.25 0 90) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask)
(net 2 "Net-(C2-Pad1)"))
(pad 2 smd rect (at 1.25 0 90) (size 1.5 1.25) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(model Capacitors_SMD.3dshapes/C_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module TO_SOT_Packages_SMD:SOT-23_Handsoldering (layer F.Cu) (tedit 57D2B795) (tstamp 57D29644)
(at 133.985 93.98 270)
(descr "SOT-23, Handsoldering")
(tags SOT-23)
(path /5703C90F)
(attr smd)
(fp_text reference Q1 (at 0.635 3.81 450) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Q_NMOS_GSD (at 0 3.81 270) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -1.49982 0.0508) (end -1.49982 -0.65024) (layer F.SilkS) (width 0.15))
(fp_line (start -1.49982 -0.65024) (end -1.2509 -0.65024) (layer F.SilkS) (width 0.15))
(fp_line (start 1.29916 -0.65024) (end 1.49982 -0.65024) (layer F.SilkS) (width 0.15))
(fp_line (start 1.49982 -0.65024) (end 1.49982 0.0508) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -0.95 1.50114 270) (size 0.8001 1.80086) (layers F.Cu F.Paste F.Mask)
(net 8 +5V))
(pad 2 smd rect (at 0.95 1.50114 270) (size 0.8001 1.80086) (layers F.Cu F.Paste F.Mask)
(net 1 GND))
(pad 3 smd rect (at 0 -1.50114 270) (size 0.8001 1.80086) (layers F.Cu F.Paste F.Mask)
(net 9 "Net-(P1-Pad1)"))
(model TO_SOT_Packages_SMD.3dshapes/SOT-23_Handsoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Resistors_SMD:R_0805_HandSoldering (layer F.Cu) (tedit 57D2B79A) (tstamp 57D2965C)
(at 134.62 83.185 270)
(descr "Resistor SMD 0805, hand soldering")
(tags "resistor 0805")
(path /56B9DECB)
(attr smd)
(fp_text reference R2 (at -3.81 0 270) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value 4k7 (at 0 2.1 270) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -2.4 -1) (end 2.4 -1) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.4 1) (end 2.4 1) (layer F.CrtYd) (width 0.05))
(fp_line (start -2.4 -1) (end -2.4 1) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.4 -1) (end 2.4 1) (layer F.CrtYd) (width 0.05))
(fp_line (start 0.6 0.875) (end -0.6 0.875) (layer F.SilkS) (width 0.15))
(fp_line (start -0.6 -0.875) (end 0.6 -0.875) (layer F.SilkS) (width 0.15))
(pad 1 smd rect (at -1.35 0 270) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask)
(net 8 +5V))
(pad 2 smd rect (at 1.35 0 270) (size 1.5 1.3) (layers F.Cu F.Paste F.Mask)
(net 3 /SDA))
(model Resistors_SMD.3dshapes/R_0805_HandSoldering.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)
(module Mounting_Holes:MountingHole_2.2mm_M2 (layer F.Cu) (tedit 57D2B684) (tstamp 57D2A2C3)
(at 123.19 78.74)
(descr "Mounting Hole 2.2mm, no annular, M2")
(tags "mounting hole 2.2mm no annular m2")
(fp_text reference REF** (at 0 -3.2) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole_2.2mm_M2 (at 0 3.2) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15))
(fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05))
(pad 1 np_thru_hole circle (at 0 0) (size 2.2 2.2) (drill 2.2) (layers *.Cu *.Mask F.SilkS))
)
(module Mounting_Holes:MountingHole_2.2mm_M2 (layer F.Cu) (tedit 57D2B68E) (tstamp 57D2A2C5)
(at 123.19 99.06)
(descr "Mounting Hole 2.2mm, no annular, M2")
(tags "mounting hole 2.2mm no annular m2")
(fp_text reference REF** (at 0 -3.2) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole_2.2mm_M2 (at 0 3.2) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15))
(fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05))
(pad 1 np_thru_hole circle (at 0 0) (size 2.2 2.2) (drill 2.2) (layers *.Cu *.Mask F.SilkS))
)
(module Mounting_Holes:MountingHole_2.2mm_M2 (layer F.Cu) (tedit 57D2B687) (tstamp 57D2A2C6)
(at 143.51 78.74)
(descr "Mounting Hole 2.2mm, no annular, M2")
(tags "mounting hole 2.2mm no annular m2")
(fp_text reference REF** (at 0 -3.2) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole_2.2mm_M2 (at 0 3.2) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15))
(fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05))
(pad 1 np_thru_hole circle (at 0 0) (size 2.2 2.2) (drill 2.2) (layers *.Cu *.Mask F.SilkS))
)
(module Mounting_Holes:MountingHole_2.2mm_M2 (layer F.Cu) (tedit 57D2B68B) (tstamp 57D2A2C7)
(at 143.51 99.06)
(descr "Mounting Hole 2.2mm, no annular, M2")
(tags "mounting hole 2.2mm no annular m2")
(fp_text reference REF** (at 0 -3.2) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value MountingHole_2.2mm_M2 (at 0 3.2) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_circle (center 0 0) (end 2.2 0) (layer Cmts.User) (width 0.15))
(fp_circle (center 0 0) (end 2.45 0) (layer F.CrtYd) (width 0.05))
(pad 1 np_thru_hole circle (at 0 0) (size 2.2 2.2) (drill 2.2) (layers *.Cu *.Mask F.SilkS))
)
(module Pin_Headers:Pin_Header_Straight_2x05 (layer B.Cu) (tedit 57D2C3EA) (tstamp 57D2BBA6)
(at 142.875 83.82 180)
(descr "Through hole pin header")
(tags "pin header")
(path /56B9CF83)
(fp_text reference P1 (at 0 5.1 180) (layer B.SilkS) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value CONN_02X05 (at 0 3.1 180) (layer B.Fab) hide
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_line (start -1.75 1.75) (end -1.75 -11.95) (layer B.CrtYd) (width 0.05))
(fp_line (start 4.3 1.75) (end 4.3 -11.95) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.75 1.75) (end 4.3 1.75) (layer B.CrtYd) (width 0.05))
(fp_line (start -1.75 -11.95) (end 4.3 -11.95) (layer B.CrtYd) (width 0.05))
(fp_line (start 3.81 1.27) (end 3.81 -11.43) (layer B.SilkS) (width 0.15))
(fp_line (start 3.81 -11.43) (end -1.27 -11.43) (layer B.SilkS) (width 0.15))
(fp_line (start -1.27 -11.43) (end -1.27 -1.27) (layer B.SilkS) (width 0.15))
(fp_line (start 3.81 1.27) (end 1.27 1.27) (layer B.SilkS) (width 0.15))
(fp_line (start 0 1.55) (end -1.55 1.55) (layer B.SilkS) (width 0.15))
(fp_line (start 1.27 1.27) (end 1.27 -1.27) (layer B.SilkS) (width 0.15))
(fp_line (start 1.27 -1.27) (end -1.27 -1.27) (layer B.SilkS) (width 0.15))
(fp_line (start -1.55 1.55) (end -1.55 0) (layer B.SilkS) (width 0.15))
(pad 1 thru_hole rect (at 0 0 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 9 "Net-(P1-Pad1)"))
(pad 2 thru_hole oval (at 2.54 0 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 8 +5V))
(pad 3 thru_hole oval (at 0 -2.54 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 9 "Net-(P1-Pad1)"))
(pad 4 thru_hole oval (at 2.54 -2.54 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 8 +5V))
(pad 5 thru_hole oval (at 0 -5.08 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 9 "Net-(P1-Pad1)"))
(pad 6 thru_hole oval (at 2.54 -5.08 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 8 +5V))
(pad 7 thru_hole oval (at 0 -7.62 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 4 /SCL))
(pad 8 thru_hole oval (at 2.54 -7.62 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 4 /SCL))
(pad 9 thru_hole oval (at 0 -10.16 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 3 /SDA))
(pad 10 thru_hole oval (at 2.54 -10.16 180) (size 1.7272 1.7272) (drill 1.016) (layers *.Cu *.Mask B.SilkS)
(net 3 /SDA))
(model Pin_Headers.3dshapes/Pin_Header_Straight_2x05.wrl
(at (xyz 0.05 -0.2 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 90))
)
)
(module Symbols:OSHW-Logo2_7.3x6mm_SilkScreen (layer B.Cu) (tedit 57D2C6CC) (tstamp 57D2D0D6)
(at 128.905 92.71 180)
(descr "Open Source Hardware Symbol")
(tags "Logo Symbol OSHW")
(attr virtual)
(fp_text reference "©2016 LSF" (at 2.54 6.985 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_text value SatNOGS-Encoder (at 4.445 4.445 270) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(fp_poly (pts (xy -2.400256 -1.919918) (xy -2.344799 -1.947568) (xy -2.295852 -1.99848) (xy -2.282371 -2.017338)
(xy -2.267686 -2.042015) (xy -2.258158 -2.068816) (xy -2.252707 -2.104587) (xy -2.250253 -2.156169)
(xy -2.249714 -2.224267) (xy -2.252148 -2.317588) (xy -2.260606 -2.387657) (xy -2.276826 -2.439931)
(xy -2.302546 -2.479869) (xy -2.339503 -2.512929) (xy -2.342218 -2.514886) (xy -2.37864 -2.534908)
(xy -2.422498 -2.544815) (xy -2.478276 -2.547257) (xy -2.568952 -2.547257) (xy -2.56899 -2.635283)
(xy -2.569834 -2.684308) (xy -2.574976 -2.713065) (xy -2.588413 -2.730311) (xy -2.614142 -2.744808)
(xy -2.620321 -2.747769) (xy -2.649236 -2.761648) (xy -2.671624 -2.770414) (xy -2.688271 -2.771171)
(xy -2.699964 -2.761023) (xy -2.70749 -2.737073) (xy -2.711634 -2.696426) (xy -2.713185 -2.636186)
(xy -2.712929 -2.553455) (xy -2.711651 -2.445339) (xy -2.711252 -2.413) (xy -2.709815 -2.301524)
(xy -2.708528 -2.228603) (xy -2.569029 -2.228603) (xy -2.568245 -2.290499) (xy -2.56476 -2.330997)
(xy -2.556876 -2.357708) (xy -2.542895 -2.378244) (xy -2.533403 -2.38826) (xy -2.494596 -2.417567)
(xy -2.460237 -2.419952) (xy -2.424784 -2.39575) (xy -2.423886 -2.394857) (xy -2.409461 -2.376153)
(xy -2.400687 -2.350732) (xy -2.396261 -2.311584) (xy -2.394882 -2.251697) (xy -2.394857 -2.23843)
(xy -2.398188 -2.155901) (xy -2.409031 -2.098691) (xy -2.42866 -2.063766) (xy -2.45835 -2.048094)
(xy -2.475509 -2.046514) (xy -2.516234 -2.053926) (xy -2.544168 -2.07833) (xy -2.560983 -2.12298)
(xy -2.56835 -2.19113) (xy -2.569029 -2.228603) (xy -2.708528 -2.228603) (xy -2.708292 -2.215245)
(xy -2.706323 -2.150333) (xy -2.70355 -2.102958) (xy -2.699612 -2.06929) (xy -2.694151 -2.045498)
(xy -2.686808 -2.027753) (xy -2.677223 -2.012224) (xy -2.673113 -2.006381) (xy -2.618595 -1.951185)
(xy -2.549664 -1.91989) (xy -2.469928 -1.911165) (xy -2.400256 -1.919918)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -1.283907 -1.92778) (xy -1.237328 -1.954723) (xy -1.204943 -1.981466) (xy -1.181258 -2.009484)
(xy -1.164941 -2.043748) (xy -1.154661 -2.089227) (xy -1.149086 -2.150892) (xy -1.146884 -2.233711)
(xy -1.146629 -2.293246) (xy -1.146629 -2.512391) (xy -1.208314 -2.540044) (xy -1.27 -2.567697)
(xy -1.277257 -2.32767) (xy -1.280256 -2.238028) (xy -1.283402 -2.172962) (xy -1.287299 -2.128026)
(xy -1.292553 -2.09877) (xy -1.299769 -2.080748) (xy -1.30955 -2.069511) (xy -1.312688 -2.067079)
(xy -1.360239 -2.048083) (xy -1.408303 -2.0556) (xy -1.436914 -2.075543) (xy -1.448553 -2.089675)
(xy -1.456609 -2.10822) (xy -1.461729 -2.136334) (xy -1.464559 -2.179173) (xy -1.465744 -2.241895)
(xy -1.465943 -2.307261) (xy -1.465982 -2.389268) (xy -1.467386 -2.447316) (xy -1.472086 -2.486465)
(xy -1.482013 -2.51178) (xy -1.499097 -2.528323) (xy -1.525268 -2.541156) (xy -1.560225 -2.554491)
(xy -1.598404 -2.569007) (xy -1.593859 -2.311389) (xy -1.592029 -2.218519) (xy -1.589888 -2.149889)
(xy -1.586819 -2.100711) (xy -1.582206 -2.066198) (xy -1.575432 -2.041562) (xy -1.565881 -2.022016)
(xy -1.554366 -2.00477) (xy -1.49881 -1.94968) (xy -1.43102 -1.917822) (xy -1.357287 -1.910191)
(xy -1.283907 -1.92778)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -2.958885 -1.921962) (xy -2.890855 -1.957733) (xy -2.840649 -2.015301) (xy -2.822815 -2.052312)
(xy -2.808937 -2.107882) (xy -2.801833 -2.178096) (xy -2.80116 -2.254727) (xy -2.806573 -2.329552)
(xy -2.81773 -2.394342) (xy -2.834286 -2.440873) (xy -2.839374 -2.448887) (xy -2.899645 -2.508707)
(xy -2.971231 -2.544535) (xy -3.048908 -2.55502) (xy -3.127452 -2.53881) (xy -3.149311 -2.529092)
(xy -3.191878 -2.499143) (xy -3.229237 -2.459433) (xy -3.232768 -2.454397) (xy -3.247119 -2.430124)
(xy -3.256606 -2.404178) (xy -3.26221 -2.370022) (xy -3.264914 -2.321119) (xy -3.265701 -2.250935)
(xy -3.265714 -2.2352) (xy -3.265678 -2.230192) (xy -3.120571 -2.230192) (xy -3.119727 -2.29643)
(xy -3.116404 -2.340386) (xy -3.109417 -2.368779) (xy -3.097584 -2.388325) (xy -3.091543 -2.394857)
(xy -3.056814 -2.41968) (xy -3.023097 -2.418548) (xy -2.989005 -2.397016) (xy -2.968671 -2.374029)
(xy -2.956629 -2.340478) (xy -2.949866 -2.287569) (xy -2.949402 -2.281399) (xy -2.948248 -2.185513)
(xy -2.960312 -2.114299) (xy -2.98543 -2.068194) (xy -3.02344 -2.047635) (xy -3.037008 -2.046514)
(xy -3.072636 -2.052152) (xy -3.097006 -2.071686) (xy -3.111907 -2.109042) (xy -3.119125 -2.16815)
(xy -3.120571 -2.230192) (xy -3.265678 -2.230192) (xy -3.265174 -2.160413) (xy -3.262904 -2.108159)
(xy -3.257932 -2.071949) (xy -3.249287 -2.045299) (xy -3.235995 -2.021722) (xy -3.233057 -2.017338)
(xy -3.183687 -1.958249) (xy -3.129891 -1.923947) (xy -3.064398 -1.910331) (xy -3.042158 -1.909665)
(xy -2.958885 -1.921962)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -1.831697 -1.931239) (xy -1.774473 -1.969735) (xy -1.730251 -2.025335) (xy -1.703833 -2.096086)
(xy -1.69849 -2.148162) (xy -1.699097 -2.169893) (xy -1.704178 -2.186531) (xy -1.718145 -2.201437)
(xy -1.745411 -2.217973) (xy -1.790388 -2.239498) (xy -1.857489 -2.269374) (xy -1.857829 -2.269524)
(xy -1.919593 -2.297813) (xy -1.970241 -2.322933) (xy -2.004596 -2.342179) (xy -2.017482 -2.352848)
(xy -2.017486 -2.352934) (xy -2.006128 -2.376166) (xy -1.979569 -2.401774) (xy -1.949077 -2.420221)
(xy -1.93363 -2.423886) (xy -1.891485 -2.411212) (xy -1.855192 -2.379471) (xy -1.837483 -2.344572)
(xy -1.820448 -2.318845) (xy -1.787078 -2.289546) (xy -1.747851 -2.264235) (xy -1.713244 -2.250471)
(xy -1.706007 -2.249714) (xy -1.697861 -2.26216) (xy -1.69737 -2.293972) (xy -1.703357 -2.336866)
(xy -1.714643 -2.382558) (xy -1.73005 -2.422761) (xy -1.730829 -2.424322) (xy -1.777196 -2.489062)
(xy -1.837289 -2.533097) (xy -1.905535 -2.554711) (xy -1.976362 -2.552185) (xy -2.044196 -2.523804)
(xy -2.047212 -2.521808) (xy -2.100573 -2.473448) (xy -2.13566 -2.410352) (xy -2.155078 -2.327387)
(xy -2.157684 -2.304078) (xy -2.162299 -2.194055) (xy -2.156767 -2.142748) (xy -2.017486 -2.142748)
(xy -2.015676 -2.174753) (xy -2.005778 -2.184093) (xy -1.981102 -2.177105) (xy -1.942205 -2.160587)
(xy -1.898725 -2.139881) (xy -1.897644 -2.139333) (xy -1.860791 -2.119949) (xy -1.846 -2.107013)
(xy -1.849647 -2.093451) (xy -1.865005 -2.075632) (xy -1.904077 -2.049845) (xy -1.946154 -2.04795)
(xy -1.983897 -2.066717) (xy -2.009966 -2.102915) (xy -2.017486 -2.142748) (xy -2.156767 -2.142748)
(xy -2.152806 -2.106027) (xy -2.12845 -2.036212) (xy -2.094544 -1.987302) (xy -2.033347 -1.937878)
(xy -1.965937 -1.913359) (xy -1.89712 -1.911797) (xy -1.831697 -1.931239)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy -0.624114 -1.851289) (xy -0.619861 -1.910613) (xy -0.614975 -1.945572) (xy -0.608205 -1.96082)
(xy -0.598298 -1.961015) (xy -0.595086 -1.959195) (xy -0.552356 -1.946015) (xy -0.496773 -1.946785)
(xy -0.440263 -1.960333) (xy -0.404918 -1.977861) (xy -0.368679 -2.005861) (xy -0.342187 -2.037549)
(xy -0.324001 -2.077813) (xy -0.312678 -2.131543) (xy -0.306778 -2.203626) (xy -0.304857 -2.298951)
(xy -0.304823 -2.317237) (xy -0.3048 -2.522646) (xy -0.350509 -2.53858) (xy -0.382973 -2.54942)
(xy -0.400785 -2.554468) (xy -0.401309 -2.554514) (xy -0.403063 -2.540828) (xy -0.404556 -2.503076)
(xy -0.405674 -2.446224) (xy -0.406303 -2.375234) (xy -0.4064 -2.332073) (xy -0.406602 -2.246973)
(xy -0.407642 -2.185981) (xy -0.410169 -2.144177) (xy -0.414836 -2.116642) (xy -0.422293 -2.098456)
(xy -0.433189 -2.084698) (xy -0.439993 -2.078073) (xy -0.486728 -2.051375) (xy -0.537728 -2.049375)
(xy -0.583999 -2.071955) (xy -0.592556 -2.080107) (xy -0.605107 -2.095436) (xy -0.613812 -2.113618)
(xy -0.619369 -2.139909) (xy -0.622474 -2.179562) (xy -0.623824 -2.237832) (xy -0.624114 -2.318173)
(xy -0.624114 -2.522646) (xy -0.669823 -2.53858) (xy -0.702287 -2.54942) (xy -0.720099 -2.554468)
(xy -0.720623 -2.554514) (xy -0.721963 -2.540623) (xy -0.723172 -2.501439) (xy -0.724199 -2.4407)
(xy -0.724998 -2.362141) (xy -0.725519 -2.269498) (xy -0.725714 -2.166509) (xy -0.725714 -1.769342)
(xy -0.678543 -1.749444) (xy -0.631371 -1.729547) (xy -0.624114 -1.851289)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 0.039744 -1.950968) (xy 0.096616 -1.972087) (xy 0.097267 -1.972493) (xy 0.13244 -1.99838)
(xy 0.158407 -2.028633) (xy 0.17667 -2.068058) (xy 0.188732 -2.121462) (xy 0.196096 -2.193651)
(xy 0.200264 -2.289432) (xy 0.200629 -2.303078) (xy 0.205876 -2.508842) (xy 0.161716 -2.531678)
(xy 0.129763 -2.54711) (xy 0.11047 -2.554423) (xy 0.109578 -2.554514) (xy 0.106239 -2.541022)
(xy 0.103587 -2.504626) (xy 0.101956 -2.451452) (xy 0.1016 -2.408393) (xy 0.101592 -2.338641)
(xy 0.098403 -2.294837) (xy 0.087288 -2.273944) (xy 0.063501 -2.272925) (xy 0.022296 -2.288741)
(xy -0.039914 -2.317815) (xy -0.085659 -2.341963) (xy -0.109187 -2.362913) (xy -0.116104 -2.385747)
(xy -0.116114 -2.386877) (xy -0.104701 -2.426212) (xy -0.070908 -2.447462) (xy -0.019191 -2.450539)
(xy 0.018061 -2.450006) (xy 0.037703 -2.460735) (xy 0.049952 -2.486505) (xy 0.057002 -2.519337)
(xy 0.046842 -2.537966) (xy 0.043017 -2.540632) (xy 0.007001 -2.55134) (xy -0.043434 -2.552856)
(xy -0.095374 -2.545759) (xy -0.132178 -2.532788) (xy -0.183062 -2.489585) (xy -0.211986 -2.429446)
(xy -0.217714 -2.382462) (xy -0.213343 -2.340082) (xy -0.197525 -2.305488) (xy -0.166203 -2.274763)
(xy -0.115322 -2.24399) (xy -0.040824 -2.209252) (xy -0.036286 -2.207288) (xy 0.030821 -2.176287)
(xy 0.072232 -2.150862) (xy 0.089981 -2.128014) (xy 0.086107 -2.104745) (xy 0.062643 -2.078056)
(xy 0.055627 -2.071914) (xy 0.00863 -2.0481) (xy -0.040067 -2.049103) (xy -0.082478 -2.072451)
(xy -0.110616 -2.115675) (xy -0.113231 -2.12416) (xy -0.138692 -2.165308) (xy -0.170999 -2.185128)
(xy -0.217714 -2.20477) (xy -0.217714 -2.15395) (xy -0.203504 -2.080082) (xy -0.161325 -2.012327)
(xy -0.139376 -1.989661) (xy -0.089483 -1.960569) (xy -0.026033 -1.9474) (xy 0.039744 -1.950968)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 0.529926 -1.949755) (xy 0.595858 -1.974084) (xy 0.649273 -2.017117) (xy 0.670164 -2.047409)
(xy 0.692939 -2.102994) (xy 0.692466 -2.143186) (xy 0.668562 -2.170217) (xy 0.659717 -2.174813)
(xy 0.62153 -2.189144) (xy 0.602028 -2.185472) (xy 0.595422 -2.161407) (xy 0.595086 -2.148114)
(xy 0.582992 -2.09921) (xy 0.551471 -2.064999) (xy 0.507659 -2.048476) (xy 0.458695 -2.052634)
(xy 0.418894 -2.074227) (xy 0.40545 -2.086544) (xy 0.395921 -2.101487) (xy 0.389485 -2.124075)
(xy 0.385317 -2.159328) (xy 0.382597 -2.212266) (xy 0.380502 -2.287907) (xy 0.37996 -2.311857)
(xy 0.377981 -2.39379) (xy 0.375731 -2.451455) (xy 0.372357 -2.489608) (xy 0.367006 -2.513004)
(xy 0.358824 -2.526398) (xy 0.346959 -2.534545) (xy 0.339362 -2.538144) (xy 0.307102 -2.550452)
(xy 0.288111 -2.554514) (xy 0.281836 -2.540948) (xy 0.278006 -2.499934) (xy 0.2766 -2.430999)
(xy 0.277598 -2.333669) (xy 0.277908 -2.318657) (xy 0.280101 -2.229859) (xy 0.282693 -2.165019)
(xy 0.286382 -2.119067) (xy 0.291864 -2.086935) (xy 0.299835 -2.063553) (xy 0.310993 -2.043852)
(xy 0.31683 -2.03541) (xy 0.350296 -1.998057) (xy 0.387727 -1.969003) (xy 0.392309 -1.966467)
(xy 0.459426 -1.946443) (xy 0.529926 -1.949755)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 1.190117 -2.065358) (xy 1.189933 -2.173837) (xy 1.189219 -2.257287) (xy 1.187675 -2.319704)
(xy 1.185001 -2.365085) (xy 1.180894 -2.397429) (xy 1.175055 -2.420733) (xy 1.167182 -2.438995)
(xy 1.161221 -2.449418) (xy 1.111855 -2.505945) (xy 1.049264 -2.541377) (xy 0.980013 -2.55409)
(xy 0.910668 -2.542463) (xy 0.869375 -2.521568) (xy 0.826025 -2.485422) (xy 0.796481 -2.441276)
(xy 0.778655 -2.383462) (xy 0.770463 -2.306313) (xy 0.769302 -2.249714) (xy 0.769458 -2.245647)
(xy 0.870857 -2.245647) (xy 0.871476 -2.31055) (xy 0.874314 -2.353514) (xy 0.88084 -2.381622)
(xy 0.892523 -2.401953) (xy 0.906483 -2.417288) (xy 0.953365 -2.44689) (xy 1.003701 -2.449419)
(xy 1.051276 -2.424705) (xy 1.054979 -2.421356) (xy 1.070783 -2.403935) (xy 1.080693 -2.383209)
(xy 1.086058 -2.352362) (xy 1.088228 -2.304577) (xy 1.088571 -2.251748) (xy 1.087827 -2.185381)
(xy 1.084748 -2.141106) (xy 1.078061 -2.112009) (xy 1.066496 -2.091173) (xy 1.057013 -2.080107)
(xy 1.01296 -2.052198) (xy 0.962224 -2.048843) (xy 0.913796 -2.070159) (xy 0.90445 -2.078073)
(xy 0.88854 -2.095647) (xy 0.87861 -2.116587) (xy 0.873278 -2.147782) (xy 0.871163 -2.196122)
(xy 0.870857 -2.245647) (xy 0.769458 -2.245647) (xy 0.77281 -2.158568) (xy 0.784726 -2.090086)
(xy 0.807135 -2.0386) (xy 0.842124 -1.998443) (xy 0.869375 -1.977861) (xy 0.918907 -1.955625)
(xy 0.976316 -1.945304) (xy 1.029682 -1.948067) (xy 1.059543 -1.959212) (xy 1.071261 -1.962383)
(xy 1.079037 -1.950557) (xy 1.084465 -1.918866) (xy 1.088571 -1.870593) (xy 1.093067 -1.816829)
(xy 1.099313 -1.784482) (xy 1.110676 -1.765985) (xy 1.130528 -1.75377) (xy 1.143 -1.748362)
(xy 1.190171 -1.728601) (xy 1.190117 -2.065358)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 1.779833 -1.958663) (xy 1.782048 -1.99685) (xy 1.783784 -2.054886) (xy 1.784899 -2.12818)
(xy 1.785257 -2.205055) (xy 1.785257 -2.465196) (xy 1.739326 -2.511127) (xy 1.707675 -2.539429)
(xy 1.67989 -2.550893) (xy 1.641915 -2.550168) (xy 1.62684 -2.548321) (xy 1.579726 -2.542948)
(xy 1.540756 -2.539869) (xy 1.531257 -2.539585) (xy 1.499233 -2.541445) (xy 1.453432 -2.546114)
(xy 1.435674 -2.548321) (xy 1.392057 -2.551735) (xy 1.362745 -2.54432) (xy 1.33368 -2.521427)
(xy 1.323188 -2.511127) (xy 1.277257 -2.465196) (xy 1.277257 -1.978602) (xy 1.314226 -1.961758)
(xy 1.346059 -1.949282) (xy 1.364683 -1.944914) (xy 1.369458 -1.958718) (xy 1.373921 -1.997286)
(xy 1.377775 -2.056356) (xy 1.380722 -2.131663) (xy 1.382143 -2.195286) (xy 1.386114 -2.445657)
(xy 1.420759 -2.450556) (xy 1.452268 -2.447131) (xy 1.467708 -2.436041) (xy 1.472023 -2.415308)
(xy 1.475708 -2.371145) (xy 1.478469 -2.309146) (xy 1.480012 -2.234909) (xy 1.480235 -2.196706)
(xy 1.480457 -1.976783) (xy 1.526166 -1.960849) (xy 1.558518 -1.950015) (xy 1.576115 -1.944962)
(xy 1.576623 -1.944914) (xy 1.578388 -1.958648) (xy 1.580329 -1.99673) (xy 1.582282 -2.054482)
(xy 1.584084 -2.127227) (xy 1.585343 -2.195286) (xy 1.589314 -2.445657) (xy 1.6764 -2.445657)
(xy 1.680396 -2.21724) (xy 1.684392 -1.988822) (xy 1.726847 -1.966868) (xy 1.758192 -1.951793)
(xy 1.776744 -1.944951) (xy 1.777279 -1.944914) (xy 1.779833 -1.958663)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 2.144876 -1.956335) (xy 2.186667 -1.975344) (xy 2.219469 -1.998378) (xy 2.243503 -2.024133)
(xy 2.260097 -2.057358) (xy 2.270577 -2.1028) (xy 2.276271 -2.165207) (xy 2.278507 -2.249327)
(xy 2.278743 -2.304721) (xy 2.278743 -2.520826) (xy 2.241774 -2.53767) (xy 2.212656 -2.549981)
(xy 2.198231 -2.554514) (xy 2.195472 -2.541025) (xy 2.193282 -2.504653) (xy 2.191942 -2.451542)
(xy 2.191657 -2.409372) (xy 2.190434 -2.348447) (xy 2.187136 -2.300115) (xy 2.182321 -2.270518)
(xy 2.178496 -2.264229) (xy 2.152783 -2.270652) (xy 2.112418 -2.287125) (xy 2.065679 -2.309458)
(xy 2.020845 -2.333457) (xy 1.986193 -2.35493) (xy 1.970002 -2.369685) (xy 1.969938 -2.369845)
(xy 1.97133 -2.397152) (xy 1.983818 -2.423219) (xy 2.005743 -2.444392) (xy 2.037743 -2.451474)
(xy 2.065092 -2.450649) (xy 2.103826 -2.450042) (xy 2.124158 -2.459116) (xy 2.136369 -2.483092)
(xy 2.137909 -2.487613) (xy 2.143203 -2.521806) (xy 2.129047 -2.542568) (xy 2.092148 -2.552462)
(xy 2.052289 -2.554292) (xy 1.980562 -2.540727) (xy 1.943432 -2.521355) (xy 1.897576 -2.475845)
(xy 1.873256 -2.419983) (xy 1.871073 -2.360957) (xy 1.891629 -2.305953) (xy 1.922549 -2.271486)
(xy 1.95342 -2.252189) (xy 2.001942 -2.227759) (xy 2.058485 -2.202985) (xy 2.06791 -2.199199)
(xy 2.130019 -2.171791) (xy 2.165822 -2.147634) (xy 2.177337 -2.123619) (xy 2.16658 -2.096635)
(xy 2.148114 -2.075543) (xy 2.104469 -2.049572) (xy 2.056446 -2.047624) (xy 2.012406 -2.067637)
(xy 1.980709 -2.107551) (xy 1.976549 -2.117848) (xy 1.952327 -2.155724) (xy 1.916965 -2.183842)
(xy 1.872343 -2.206917) (xy 1.872343 -2.141485) (xy 1.874969 -2.101506) (xy 1.88623 -2.069997)
(xy 1.911199 -2.036378) (xy 1.935169 -2.010484) (xy 1.972441 -1.973817) (xy 2.001401 -1.954121)
(xy 2.032505 -1.94622) (xy 2.067713 -1.944914) (xy 2.144876 -1.956335)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 2.6526 -1.958752) (xy 2.669948 -1.966334) (xy 2.711356 -1.999128) (xy 2.746765 -2.046547)
(xy 2.768664 -2.097151) (xy 2.772229 -2.122098) (xy 2.760279 -2.156927) (xy 2.734067 -2.175357)
(xy 2.705964 -2.186516) (xy 2.693095 -2.188572) (xy 2.686829 -2.173649) (xy 2.674456 -2.141175)
(xy 2.669028 -2.126502) (xy 2.63859 -2.075744) (xy 2.59452 -2.050427) (xy 2.53801 -2.051206)
(xy 2.533825 -2.052203) (xy 2.503655 -2.066507) (xy 2.481476 -2.094393) (xy 2.466327 -2.139287)
(xy 2.45725 -2.204615) (xy 2.453286 -2.293804) (xy 2.452914 -2.341261) (xy 2.45273 -2.416071)
(xy 2.451522 -2.467069) (xy 2.448309 -2.499471) (xy 2.442109 -2.518495) (xy 2.43194 -2.529356)
(xy 2.416819 -2.537272) (xy 2.415946 -2.53767) (xy 2.386828 -2.549981) (xy 2.372403 -2.554514)
(xy 2.370186 -2.540809) (xy 2.368289 -2.502925) (xy 2.366847 -2.445715) (xy 2.365998 -2.374027)
(xy 2.365829 -2.321565) (xy 2.366692 -2.220047) (xy 2.37007 -2.143032) (xy 2.377142 -2.086023)
(xy 2.389088 -2.044526) (xy 2.40709 -2.014043) (xy 2.432327 -1.99008) (xy 2.457247 -1.973355)
(xy 2.517171 -1.951097) (xy 2.586911 -1.946076) (xy 2.6526 -1.958752)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 3.153595 -1.966966) (xy 3.211021 -2.004497) (xy 3.238719 -2.038096) (xy 3.260662 -2.099064)
(xy 3.262405 -2.147308) (xy 3.258457 -2.211816) (xy 3.109686 -2.276934) (xy 3.037349 -2.310202)
(xy 2.990084 -2.336964) (xy 2.965507 -2.360144) (xy 2.961237 -2.382667) (xy 2.974889 -2.407455)
(xy 2.989943 -2.423886) (xy 3.033746 -2.450235) (xy 3.081389 -2.452081) (xy 3.125145 -2.431546)
(xy 3.157289 -2.390752) (xy 3.163038 -2.376347) (xy 3.190576 -2.331356) (xy 3.222258 -2.312182)
(xy 3.265714 -2.295779) (xy 3.265714 -2.357966) (xy 3.261872 -2.400283) (xy 3.246823 -2.435969)
(xy 3.21528 -2.476943) (xy 3.210592 -2.482267) (xy 3.175506 -2.51872) (xy 3.145347 -2.538283)
(xy 3.107615 -2.547283) (xy 3.076335 -2.55023) (xy 3.020385 -2.550965) (xy 2.980555 -2.54166)
(xy 2.955708 -2.527846) (xy 2.916656 -2.497467) (xy 2.889625 -2.464613) (xy 2.872517 -2.423294)
(xy 2.863238 -2.367521) (xy 2.859693 -2.291305) (xy 2.85941 -2.252622) (xy 2.860372 -2.206247)
(xy 2.948007 -2.206247) (xy 2.949023 -2.231126) (xy 2.951556 -2.2352) (xy 2.968274 -2.229665)
(xy 3.004249 -2.215017) (xy 3.052331 -2.19419) (xy 3.062386 -2.189714) (xy 3.123152 -2.158814)
(xy 3.156632 -2.131657) (xy 3.16399 -2.10622) (xy 3.146391 -2.080481) (xy 3.131856 -2.069109)
(xy 3.07941 -2.046364) (xy 3.030322 -2.050122) (xy 2.989227 -2.077884) (xy 2.960758 -2.127152)
(xy 2.951631 -2.166257) (xy 2.948007 -2.206247) (xy 2.860372 -2.206247) (xy 2.861285 -2.162249)
(xy 2.868196 -2.095384) (xy 2.881884 -2.046695) (xy 2.904096 -2.010849) (xy 2.936574 -1.982513)
(xy 2.950733 -1.973355) (xy 3.015053 -1.949507) (xy 3.085473 -1.948006) (xy 3.153595 -1.966966)) (layer B.SilkS) (width 0.01))
(fp_poly (pts (xy 0.10391 2.757652) (xy 0.182454 2.757222) (xy 0.239298 2.756058) (xy 0.278105 2.753793)
(xy 0.302538 2.75006) (xy 0.316262 2.744494) (xy 0.32294 2.736727) (xy 0.326236 2.726395)
(xy 0.326556 2.725057) (xy 0.331562 2.700921) (xy 0.340829 2.653299) (xy 0.353392 2.587259)
(xy 0.368287 2.507872) (xy 0.384551 2.420204) (xy 0.385119 2.417125) (xy 0.40141 2.331211)
(xy 0.416652 2.255304) (xy 0.429861 2.193955) (xy 0.440054 2.151718) (xy 0.446248 2.133145)
(xy 0.446543 2.132816) (xy 0.464788 2.123747) (xy 0.502405 2.108633) (xy 0.551271 2.090738)
(xy 0.551543 2.090642) (xy 0.613093 2.067507) (xy 0.685657 2.038035) (xy 0.754057 2.008403)
(xy 0.757294 2.006938) (xy 0.868702 1.956374) (xy 1.115399 2.12484) (xy 1.191077 2.176197)
(xy 1.259631 2.222111) (xy 1.317088 2.25997) (xy 1.359476 2.287163) (xy 1.382825 2.301079)
(xy 1.385042 2.302111) (xy 1.40201 2.297516) (xy 1.433701 2.275345) (xy 1.481352 2.234553)
(xy 1.546198 2.174095) (xy 1.612397 2.109773) (xy 1.676214 2.046388) (xy 1.733329 1.988549)
(xy 1.780305 1.939825) (xy 1.813703 1.90379) (xy 1.830085 1.884016) (xy 1.830694 1.882998)
(xy 1.832505 1.869428) (xy 1.825683 1.847267) (xy 1.80854 1.813522) (xy 1.779393 1.7652)
(xy 1.736555 1.699308) (xy 1.679448 1.614483) (xy 1.628766 1.539823) (xy 1.583461 1.47286)
(xy 1.54615 1.417484) (xy 1.519452 1.37758) (xy 1.505985 1.357038) (xy 1.505137 1.355644)
(xy 1.506781 1.335962) (xy 1.519245 1.297707) (xy 1.540048 1.248111) (xy 1.547462 1.232272)
(xy 1.579814 1.16171) (xy 1.614328 1.081647) (xy 1.642365 1.012371) (xy 1.662568 0.960955)
(xy 1.678615 0.921881) (xy 1.687888 0.901459) (xy 1.689041 0.899886) (xy 1.706096 0.897279)
(xy 1.746298 0.890137) (xy 1.804302 0.879477) (xy 1.874763 0.866315) (xy 1.952335 0.851667)
(xy 2.031672 0.836551) (xy 2.107431 0.821982) (xy 2.174264 0.808978) (xy 2.226828 0.798555)
(xy 2.259776 0.79173) (xy 2.267857 0.789801) (xy 2.276205 0.785038) (xy 2.282506 0.774282)
(xy 2.287045 0.753902) (xy 2.290104 0.720266) (xy 2.291967 0.669745) (xy 2.292918 0.598708)
(xy 2.29324 0.503524) (xy 2.293257 0.464508) (xy 2.293257 0.147201) (xy 2.217057 0.132161)
(xy 2.174663 0.124005) (xy 2.1114 0.112101) (xy 2.034962 0.097884) (xy 1.953043 0.08279)
(xy 1.9304 0.078645) (xy 1.854806 0.063947) (xy 1.788953 0.049495) (xy 1.738366 0.036625)
(xy 1.708574 0.026678) (xy 1.703612 0.023713) (xy 1.691426 0.002717) (xy 1.673953 -0.037967)
(xy 1.654577 -0.090322) (xy 1.650734 -0.1016) (xy 1.625339 -0.171523) (xy 1.593817 -0.250418)
(xy 1.562969 -0.321266) (xy 1.562817 -0.321595) (xy 1.511447 -0.432733) (xy 1.680399 -0.681253)
(xy 1.849352 -0.929772) (xy 1.632429 -1.147058) (xy 1.566819 -1.211726) (xy 1.506979 -1.268733)
(xy 1.456267 -1.315033) (xy 1.418046 -1.347584) (xy 1.395675 -1.363343) (xy 1.392466 -1.364343)
(xy 1.373626 -1.356469) (xy 1.33518 -1.334578) (xy 1.28133 -1.301267) (xy 1.216276 -1.259131)
(xy 1.14594 -1.211943) (xy 1.074555 -1.16381) (xy 1.010908 -1.121928) (xy 0.959041 -1.088871)
(xy 0.922995 -1.067218) (xy 0.906867 -1.059543) (xy 0.887189 -1.066037) (xy 0.849875 -1.08315)
(xy 0.802621 -1.107326) (xy 0.797612 -1.110013) (xy 0.733977 -1.141927) (xy 0.690341 -1.157579)
(xy 0.663202 -1.157745) (xy 0.649057 -1.143204) (xy 0.648975 -1.143) (xy 0.641905 -1.125779)
(xy 0.625042 -1.084899) (xy 0.599695 -1.023525) (xy 0.567171 -0.944819) (xy 0.528778 -0.851947)
(xy 0.485822 -0.748072) (xy 0.444222 -0.647502) (xy 0.398504 -0.536516) (xy 0.356526 -0.433703)
(xy 0.319548 -0.342215) (xy 0.288827 -0.265201) (xy 0.265622 -0.205815) (xy 0.25119 -0.167209)
(xy 0.246743 -0.1528) (xy 0.257896 -0.136272) (xy 0.287069 -0.10993) (xy 0.325971 -0.080887)
(xy 0.436757 0.010961) (xy 0.523351 0.116241) (xy 0.584716 0.232734) (xy 0.619815 0.358224)
(xy 0.627608 0.490493) (xy 0.621943 0.551543) (xy 0.591078 0.678205) (xy 0.53792 0.790059)
(xy 0.465767 0.885999) (xy 0.377917 0.964924) (xy 0.277665 1.02573) (xy 0.16831 1.067313)
(xy 0.053147 1.088572) (xy -0.064525 1.088401) (xy -0.18141 1.065699) (xy -0.294211 1.019362)
(xy -0.399631 0.948287) (xy -0.443632 0.908089) (xy -0.528021 0.804871) (xy -0.586778 0.692075)
(xy -0.620296 0.57299) (xy -0.628965 0.450905) (xy -0.613177 0.329107) (xy -0.573322 0.210884)
(xy -0.509793 0.099525) (xy -0.422979 -0.001684) (xy -0.325971 -0.080887) (xy -0.285563 -0.111162)
(xy -0.257018 -0.137219) (xy -0.246743 -0.152825) (xy -0.252123 -0.169843) (xy -0.267425 -0.2105)
(xy -0.291388 -0.271642) (xy -0.322756 -0.350119) (xy -0.360268 -0.44278) (xy -0.402667 -0.546472)
(xy -0.444337 -0.647526) (xy -0.49031 -0.758607) (xy -0.532893 -0.861541) (xy -0.570779 -0.953165)
(xy -0.60266 -1.030316) (xy -0.627229 -1.089831) (xy -0.64318 -1.128544) (xy -0.64909 -1.143)
(xy -0.663052 -1.157685) (xy -0.69006 -1.157642) (xy -0.733587 -1.142099) (xy -0.79711 -1.110284)
(xy -0.797612 -1.110013) (xy -0.84544 -1.085323) (xy -0.884103 -1.067338) (xy -0.905905 -1.059614)
(xy -0.906867 -1.059543) (xy -0.923279 -1.067378) (xy -0.959513 -1.089165) (xy -1.011526 -1.122328)
(xy -1.075275 -1.164291) (xy -1.14594 -1.211943) (xy -1.217884 -1.260191) (xy -1.282726 -1.302151)
(xy -1.336265 -1.335227) (xy -1.374303 -1.356821) (xy -1.392467 -1.364343) (xy -1.409192 -1.354457)
(xy -1.44282 -1.326826) (xy -1.48999 -1.284495) (xy -1.547342 -1.230505) (xy -1.611516 -1.167899)
(xy -1.632503 -1.146983) (xy -1.849501 -0.929623) (xy -1.684332 -0.68722) (xy -1.634136 -0.612781)
(xy -1.590081 -0.545972) (xy -1.554638 -0.490665) (xy -1.530281 -0.450729) (xy -1.519478 -0.430036)
(xy -1.519162 -0.428563) (xy -1.524857 -0.409058) (xy -1.540174 -0.369822) (xy -1.562463 -0.31743)
(xy -1.578107 -0.282355) (xy -1.607359 -0.215201) (xy -1.634906 -0.147358) (xy -1.656263 -0.090034)
(xy -1.662065 -0.072572) (xy -1.678548 -0.025938) (xy -1.69466 0.010095) (xy -1.70351 0.023713)
(xy -1.72304 0.032048) (xy -1.765666 0.043863) (xy -1.825855 0.057819) (xy -1.898078 0.072578)
(xy -1.9304 0.078645) (xy -2.012478 0.093727) (xy -2.091205 0.108331) (xy -2.158891 0.12102)
(xy -2.20784 0.130358) (xy -2.217057 0.132161) (xy -2.293257 0.147201) (xy -2.293257 0.464508)
(xy -2.293086 0.568846) (xy -2.292384 0.647787) (xy -2.290866 0.704962) (xy -2.288251 0.744001)
(xy -2.284254 0.768535) (xy -2.278591 0.782195) (xy -2.27098 0.788611) (xy -2.267857 0.789801)
(xy -2.249022 0.79402) (xy -2.207412 0.802438) (xy -2.14837 0.814039) (xy -2.077243 0.827805)
(xy -1.999375 0.84272) (xy -1.920113 0.857768) (xy -1.844802 0.871931) (xy -1.778787 0.884194)
(xy -1.727413 0.893539) (xy -1.696025 0.89895) (xy -1.689041 0.899886) (xy -1.682715 0.912404)
(xy -1.66871 0.945754) (xy -1.649645 0.993623) (xy -1.642366 1.012371) (xy -1.613004 1.084805)
(xy -1.578429 1.16483) (xy -1.547463 1.232272) (xy -1.524677 1.283841) (xy -1.509518 1.326215)
(xy -1.504458 1.352166) (xy -1.505264 1.355644) (xy -1.515959 1.372064) (xy -1.54038 1.408583)
(xy -1.575905 1.461313) (xy -1.619913 1.526365) (xy -1.669783 1.599849) (xy -1.679644 1.614355)
(xy -1.737508 1.700296) (xy -1.780044 1.765739) (xy -1.808946 1.813696) (xy -1.82591 1.84718)
(xy -1.832633 1.869205) (xy -1.83081 1.882783) (xy -1.830764 1.882869) (xy -1.816414 1.900703)
(xy -1.784677 1.935183) (xy -1.73899 1.982732) (xy -1.682796 2.039778) (xy -1.619532 2.102745)
(xy -1.612398 2.109773) (xy -1.53267 2.18698) (xy -1.471143 2.24367) (xy -1.426579 2.28089)
(xy -1.397743 2.299685) (xy -1.385042 2.302111) (xy -1.366506 2.291529) (xy -1.328039 2.267084)
(xy -1.273614 2.231388) (xy -1.207202 2.187053) (xy -1.132775 2.136689) (xy -1.115399 2.12484)
(xy -0.868703 1.956374) (xy -0.757294 2.006938) (xy -0.689543 2.036405) (xy -0.616817 2.066041)
(xy -0.554297 2.08967) (xy -0.551543 2.090642) (xy -0.50264 2.108543) (xy -0.464943 2.12368)
(xy -0.446575 2.13279) (xy -0.446544 2.132816) (xy -0.440715 2.149283) (xy -0.430808 2.189781)
(xy -0.417805 2.249758) (xy -0.402691 2.32466) (xy -0.386448 2.409936) (xy -0.385119 2.417125)
(xy -0.368825 2.504986) (xy -0.353867 2.58474) (xy -0.341209 2.651319) (xy -0.331814 2.699653)
(xy -0.326646 2.724675) (xy -0.326556 2.725057) (xy -0.323411 2.735701) (xy -0.317296 2.743738)
(xy -0.304547 2.749533) (xy -0.2815 2.753453) (xy -0.244491 2.755865) (xy -0.189856 2.757135)
(xy -0.113933 2.757629) (xy -0.013056 2.757714) (xy 0 2.757714) (xy 0.10391 2.757652)) (layer B.SilkS) (width 0.01))
)
(gr_text SDA (at 136.525 93.98) (layer B.SilkS) (tstamp 57D2C4DD)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(gr_text SCL (at 136.525 91.44) (layer B.SilkS) (tstamp 57D2C4CA)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(gr_text GND|+5V (at 134.62 88.9) (layer B.SilkS) (tstamp 57D2C4C6)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(gr_text GND|+5V (at 134.62 86.36) (layer B.SilkS) (tstamp 57D2C4C1)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(gr_text GND|+5V (at 134.62 83.82) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.25)) (justify mirror))
)
(gr_line (start 120.65 76.2) (end 120.65 101.6) (layer Edge.Cuts) (width 0.15))
(gr_line (start 146.05 76.2) (end 120.65 76.2) (layer Edge.Cuts) (width 0.15))
(gr_line (start 146.05 101.6) (end 146.05 76.2) (layer Edge.Cuts) (width 0.15))
(gr_line (start 120.65 101.6) (end 146.05 101.6) (layer Edge.Cuts) (width 0.15))
(segment (start 132.48386 94.93) (end 130.98343 94.93) (width 0.6) (layer F.Cu) (net 1))
(segment (start 130.65 94.59657) (end 130.65 91.705) (width 0.6) (layer F.Cu) (net 1))
(segment (start 130.98343 94.93) (end 130.65 94.59657) (width 0.6) (layer F.Cu) (net 1))
(segment (start 130.65 91.705) (end 130.65 90.805) (width 0.6) (layer F.Cu) (net 1))
(segment (start 128.905 81.935) (end 127.615 81.935) (width 0.6) (layer F.Cu) (net 1))
(segment (start 127 90.17) (end 127.635 90.805) (width 0.6) (layer F.Cu) (net 1))
(segment (start 127.615 81.935) (end 127 82.55) (width 0.6) (layer F.Cu) (net 1))
(segment (start 127 82.55) (end 127 90.17) (width 0.6) (layer F.Cu) (net 1))
(segment (start 127.635 90.805) (end 130.65 90.805) (width 0.6) (layer F.Cu) (net 1))
(segment (start 128.905 81.935) (end 131.445 81.935) (width 0.6) (layer F.Cu) (net 1))
(segment (start 128.905 84.435) (end 128.905 87.895) (width 0.6) (layer F.Cu) (net 2))
(segment (start 128.905 87.895) (end 129.275 88.265) (width 0.6) (layer F.Cu) (net 2))
(segment (start 129.275 88.265) (end 130.65 88.265) (width 0.6) (layer F.Cu) (net 2))
(segment (start 140.335 93.98) (end 139.065 93.98) (width 0.6) (layer F.Cu) (net 3))
(segment (start 139.065 93.98) (end 137.795 92.71) (width 0.6) (layer F.Cu) (net 3))
(segment (start 137.795 92.71) (end 137.795 89.905) (width 0.6) (layer F.Cu) (net 3))
(segment (start 137.795 89.905) (end 137.425 89.535) (width 0.6) (layer F.Cu) (net 3))
(segment (start 137.425 89.535) (end 136.05 89.535) (width 0.6) (layer F.Cu) (net 3))
(segment (start 135.255 89.535) (end 134.62 88.9) (width 0.6) (layer F.Cu) (net 3))
(segment (start 136.05 89.535) (end 135.255 89.535) (width 0.6) (layer F.Cu) (net 3))
(segment (start 134.62 85.885) (end 134.62 88.9) (width 0.6) (layer F.Cu) (net 3))
(segment (start 134.62 84.535) (end 134.62 85.885) (width 0.6) (layer F.Cu) (net 3))
(segment (start 140.335 93.98) (end 142.875 93.98) (width 0.6) (layer F.Cu) (net 3))
(segment (start 137.425 88.265) (end 137.424998 88.265) (width 0.6) (layer F.Cu) (net 4))
(segment (start 137.424998 88.265) (end 136.05 88.265) (width 0.6) (layer F.Cu) (net 4))
(segment (start 140.335 91.44) (end 139.113686 91.44) (width 0.6) (layer F.Cu) (net 4))
(segment (start 139.113686 91.44) (end 138.811 91.137314) (width 0.6) (layer F.Cu) (net 4))
(segment (start 137.425 88.276) (end 137.425 88.265) (width 0.6) (layer F.Cu) (net 4))
(segment (start 138.811 91.137314) (end 138.811 89.662) (width 0.6) (layer F.Cu) (net 4))
(segment (start 138.811 89.662) (end 137.425 88.276) (width 0.6) (layer F.Cu) (net 4))
(segment (start 137.16 84.535) (end 137.16 85.885) (width 0.6) (layer F.Cu) (net 4))
(segment (start 137.16 85.885) (end 137.795 86.52) (width 0.6) (layer F.Cu) (net 4))
(segment (start 137.795 86.52) (end 137.795 87.895) (width 0.6) (layer F.Cu) (net 4))
(segment (start 137.795 87.895) (end 137.425 88.265) (width 0.6) (layer F.Cu) (net 4))
(segment (start 140.335 91.44) (end 142.875 91.44) (width 0.6) (layer F.Cu) (net 4))
(segment (start 132.48386 93.03) (end 132.48386 87.45386) (width 0.6) (layer F.Cu) (net 8))
(segment (start 130.65 86.995) (end 130.65 86.393) (width 0.6) (layer F.Cu) (net 8))
(segment (start 130.65 86.393) (end 131.445 85.598) (width 0.6) (layer F.Cu) (net 8))
(segment (start 131.445 85.598) (end 131.445 84.435) (width 0.6) (layer F.Cu) (net 8))
(segment (start 131.445 84.435) (end 132.67 84.435) (width 0.6) (layer F.Cu) (net 8))
(segment (start 132.67 84.435) (end 133.096 84.009) (width 0.6) (layer F.Cu) (net 8))
(segment (start 133.096 84.009) (end 133.096 82.109) (width 0.6) (layer F.Cu) (net 8))
(segment (start 133.096 82.109) (end 133.37 81.835) (width 0.6) (layer F.Cu) (net 8))
(segment (start 133.37 81.835) (end 134.62 81.835) (width 0.6) (layer F.Cu) (net 8))
(segment (start 140.335 82.55) (end 139.62 81.835) (width 0.6) (layer F.Cu) (net 8))
(segment (start 139.62 81.835) (end 137.16 81.835) (width 0.6) (layer F.Cu) (net 8))
(segment (start 140.335 83.82) (end 140.335 82.55) (width 0.6) (layer F.Cu) (net 8))
(segment (start 132.025 86.995) (end 132.48386 87.45386) (width 0.6) (layer F.Cu) (net 8))
(segment (start 130.65 86.995) (end 132.025 86.995) (width 0.6) (layer F.Cu) (net 8))
(segment (start 134.62 81.835) (end 137.16 81.835) (width 0.6) (layer F.Cu) (net 8))
(segment (start 140.335 86.36) (end 140.335 88.9) (width 0.6) (layer F.Cu) (net 8))
(segment (start 140.335 83.82) (end 140.335 86.36) (width 0.6) (layer F.Cu) (net 8))
(segment (start 135.48614 93.98) (end 137.16 93.98) (width 0.6) (layer F.Cu) (net 9))
(segment (start 137.16 93.98) (end 139.065 95.885) (width 0.6) (layer F.Cu) (net 9))
(segment (start 139.065 95.885) (end 144.145 95.885) (width 0.6) (layer F.Cu) (net 9))
(segment (start 144.145 95.885) (end 144.78 95.25) (width 0.6) (layer F.Cu) (net 9))
(segment (start 144.78 95.25) (end 144.78 89.535) (width 0.6) (layer F.Cu) (net 9))
(segment (start 144.78 89.535) (end 144.145 88.9) (width 0.6) (layer F.Cu) (net 9))
(segment (start 144.145 88.9) (end 142.875 88.9) (width 0.6) (layer F.Cu) (net 9))
(segment (start 142.875 86.36) (end 142.875 83.82) (width 0.6) (layer F.Cu) (net 9))
(segment (start 142.875 88.9) (end 142.875 86.36) (width 0.6) (layer F.Cu) (net 9))
(zone (net 1) (net_name GND) (layer B.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.6))
(min_thickness 0.254)
(fill yes (arc_segments 16) (thermal_gap 0.508) (thermal_bridge_width 0.508))
(polygon
(pts
(xy 120.65 101.6) (xy 120.65 76.2) (xy 146.05 76.2) (xy 146.05 101.6)
)
)
)
(zone (net 1) (net_name GND) (layer F.Cu) (tstamp 0) (hatch edge 0.508)
(connect_pads (clearance 0.4))
(min_thickness 0.254)
(fill yes (arc_segments 32) (thermal_gap 0.8) (thermal_bridge_width 0.8) (smoothing fillet) (radius 1))
(polygon
(pts
(xy 120.65 101.6) (xy 120.65 76.2) (xy 146.05 76.2) (xy 146.05 101.6)
)
)
(filled_polygon
(pts
(xy 145.448 89.05181) (xy 145.420535 89.018134) (xy 145.372885 88.958445) (xy 145.366914 88.952389) (xy 145.366815 88.952268)
(xy 145.366703 88.952176) (xy 145.364778 88.950223) (xy 144.729777 88.315223) (xy 144.670803 88.266781) (xy 144.612228 88.217631)
(xy 144.608414 88.215534) (xy 144.605056 88.212776) (xy 144.537743 88.176682) (xy 144.47079 88.139875) (xy 144.466647 88.138561)
(xy 144.462813 88.136505) (xy 144.389748 88.114167) (xy 144.316943 88.091072) (xy 144.312621 88.090587) (xy 144.308463 88.089316)
(xy 144.23245 88.081595) (xy 144.156547 88.073081) (xy 144.148048 88.073021) (xy 144.147887 88.073005) (xy 144.147737 88.073019)
(xy 144.145 88.073) (xy 143.992623 88.073) (xy 143.875275 87.927048) (xy 143.702 87.781653) (xy 143.702 87.47689)
(xy 143.861593 87.346729) (xy 144.034589 87.137614) (xy 144.163672 86.898879) (xy 144.243926 86.639619) (xy 144.272295 86.369708)
(xy 144.247698 86.099428) (xy 144.171071 85.839072) (xy 144.045333 85.598559) (xy 143.875275 85.387048) (xy 143.702 85.241653)
(xy 143.702 85.213149) (xy 143.7386 85.213149) (xy 143.822523 85.206457) (xy 143.964865 85.162376) (xy 144.08929 85.080385)
(xy 144.185948 84.966976) (xy 144.247184 84.831129) (xy 144.268149 84.6836) (xy 144.268149 82.9564) (xy 144.261457 82.872477)
(xy 144.217376 82.730135) (xy 144.135385 82.60571) (xy 144.021976 82.509052) (xy 143.886129 82.447816) (xy 143.7386 82.426851)
(xy 142.0114 82.426851) (xy 141.927477 82.433543) (xy 141.785135 82.477624) (xy 141.66071 82.559615) (xy 141.564052 82.673024)
(xy 141.502816 82.808871) (xy 141.481851 82.9564) (xy 141.481851 83.029353) (xy 141.335275 82.847048) (xy 141.162 82.701653)
(xy 141.162 82.55) (xy 141.154549 82.474004) (xy 141.147888 82.397873) (xy 141.146674 82.393695) (xy 141.14625 82.389368)
(xy 141.124169 82.316233) (xy 141.102858 82.242879) (xy 141.100857 82.239019) (xy 141.0996 82.234855) (xy 141.063731 82.167396)
(xy 141.028581 82.099584) (xy 141.025868 82.096186) (xy 141.023826 82.092345) (xy 140.975535 82.033134) (xy 140.927885 81.973445)
(xy 140.921914 81.967389) (xy 140.921815 81.967268) (xy 140.921703 81.967176) (xy 140.919778 81.965223) (xy 140.204777 81.250223)
(xy 140.145803 81.201781) (xy 140.087228 81.152631) (xy 140.083414 81.150534) (xy 140.080056 81.147776) (xy 140.012743 81.111682)
(xy 139.94579 81.074875) (xy 139.941647 81.073561) (xy 139.937813 81.071505) (xy 139.864748 81.049167) (xy 139.791943 81.026072)
(xy 139.787621 81.025587) (xy 139.783463 81.024316) (xy 139.70745 81.016595) (xy 139.631547 81.008081) (xy 139.623048 81.008021)
(xy 139.622887 81.008005) (xy 139.622737 81.008019) (xy 139.62 81.008) (xy 138.333409 81.008) (xy 138.332857 81.001077)
(xy 138.288776 80.858735) (xy 138.206785 80.73431) (xy 138.093376 80.637652) (xy 137.957529 80.576416) (xy 137.81 80.555451)
(xy 136.51 80.555451) (xy 136.426077 80.562143) (xy 136.283735 80.606224) (xy 136.15931 80.688215) (xy 136.062652 80.801624)
(xy 136.001416 80.937471) (xy 135.991393 81.008) (xy 135.793409 81.008) (xy 135.792857 81.001077) (xy 135.748776 80.858735)
(xy 135.666785 80.73431) (xy 135.553376 80.637652) (xy 135.417529 80.576416) (xy 135.27 80.555451) (xy 133.97 80.555451)
(xy 133.886077 80.562143) (xy 133.743735 80.606224) (xy 133.61931 80.688215) (xy 133.522652 80.801624) (xy 133.461416 80.937471)
(xy 133.451393 81.008) (xy 133.37 81.008) (xy 133.294004 81.015451) (xy 133.217873 81.022112) (xy 133.213695 81.023326)
(xy 133.209368 81.02375) (xy 133.136233 81.045831) (xy 133.062879 81.067142) (xy 133.059019 81.069143) (xy 133.054855 81.0704)
(xy 132.997 81.101162) (xy 132.997 81.093699) (xy 132.961376 80.914605) (xy 132.891497 80.745901) (xy 132.790048 80.594072)
(xy 132.660928 80.464952) (xy 132.5091 80.363504) (xy 132.340396 80.293624) (xy 132.161302 80.258) (xy 131.94975 80.258)
(xy 131.718 80.48975) (xy 131.718 81.662) (xy 131.738 81.662) (xy 131.738 82.208) (xy 131.718 82.208)
(xy 131.718 82.228) (xy 131.172 82.228) (xy 131.172 82.208) (xy 129.178 82.208) (xy 129.178 82.228)
(xy 128.632 82.228) (xy 128.632 82.208) (xy 127.58475 82.208) (xy 127.353 82.43975) (xy 127.353 82.776301)
(xy 127.388624 82.955395) (xy 127.458503 83.124099) (xy 127.559952 83.275928) (xy 127.689072 83.405048) (xy 127.798231 83.477985)
(xy 127.771416 83.537471) (xy 127.750451 83.685) (xy 127.750451 85.185) (xy 127.757143 85.268923) (xy 127.801224 85.411265)
(xy 127.883215 85.53569) (xy 127.996624 85.632348) (xy 128.078 85.66903) (xy 128.078 87.895) (xy 128.085451 87.970991)
(xy 128.092112 88.047128) (xy 128.093326 88.051306) (xy 128.09375 88.055632) (xy 128.115827 88.128755) (xy 128.137142 88.202121)
(xy 128.139143 88.205981) (xy 128.1404 88.210145) (xy 128.176269 88.277604) (xy 128.211419 88.345416) (xy 128.214132 88.348814)
(xy 128.216174 88.352655) (xy 128.264465 88.411866) (xy 128.312115 88.471555) (xy 128.318083 88.477607) (xy 128.318185 88.477732)
(xy 128.318301 88.477828) (xy 128.320223 88.479777) (xy 128.690223 88.849777) (xy 128.749197 88.898219) (xy 128.807772 88.947369)
(xy 128.811586 88.949466) (xy 128.814944 88.952224) (xy 128.882257 88.988318) (xy 128.94921 89.025125) (xy 128.953353 89.026439)
(xy 128.957187 89.028495) (xy 129.030252 89.050833) (xy 129.103057 89.073928) (xy 129.107379 89.074413) (xy 129.111537 89.075684)
(xy 129.18755 89.083405) (xy 129.263453 89.091919) (xy 129.271952 89.091979) (xy 129.272113 89.091995) (xy 129.272263 89.091981)
(xy 129.275 89.092) (xy 129.365772 89.092) (xy 129.345451 89.235) (xy 129.345451 89.74394) (xy 129.284072 89.784952)
(xy 129.154952 89.914072) (xy 129.053504 90.0659) (xy 128.983624 90.234604) (xy 128.948 90.413698) (xy 128.948 90.42325)
(xy 129.17975 90.655) (xy 130.377 90.655) (xy 130.377 90.512) (xy 130.923 90.512) (xy 130.923 90.655)
(xy 130.943 90.655) (xy 130.943 90.955) (xy 130.923 90.955) (xy 130.923 91.80025) (xy 131.15475 92.032)
(xy 131.516301 92.032) (xy 131.65686 92.004041) (xy 131.65686 92.100401) (xy 131.58343 92.100401) (xy 131.499507 92.107093)
(xy 131.357165 92.151174) (xy 131.23274 92.233165) (xy 131.136082 92.346574) (xy 131.074846 92.482421) (xy 131.053881 92.62995)
(xy 131.053881 93.43005) (xy 131.060573 93.513973) (xy 131.104654 93.656315) (xy 131.140637 93.710921) (xy 130.992502 93.809902)
(xy 130.863382 93.939022) (xy 130.761933 94.090851) (xy 130.692054 94.259555) (xy 130.65643 94.438649) (xy 130.65643 94.498225)
(xy 130.88818 94.729975) (xy 132.21086 94.729975) (xy 132.21086 94.637) (xy 132.75686 94.637) (xy 132.75686 94.729975)
(xy 134.07954 94.729975) (xy 134.145172 94.664343) (xy 134.188925 94.73074) (xy 134.302334 94.827398) (xy 134.438181 94.888634)
(xy 134.58571 94.909599) (xy 136.38657 94.909599) (xy 136.470493 94.902907) (xy 136.612835 94.858826) (xy 136.691483 94.807)
(xy 136.817446 94.807) (xy 138.480223 96.469777) (xy 138.539197 96.518219) (xy 138.597772 96.567369) (xy 138.601586 96.569466)
(xy 138.604944 96.572224) (xy 138.672257 96.608318) (xy 138.73921 96.645125) (xy 138.743353 96.646439) (xy 138.747187 96.648495)
(xy 138.820281 96.670842) (xy 138.893057 96.693928) (xy 138.897375 96.694412) (xy 138.901538 96.695685) (xy 138.977595 96.70341)
(xy 139.053453 96.711919) (xy 139.061952 96.711979) (xy 139.062113 96.711995) (xy 139.062263 96.711981) (xy 139.065 96.712)
(xy 144.145 96.712) (xy 144.220991 96.704549) (xy 144.297128 96.697888) (xy 144.301306 96.696674) (xy 144.305632 96.69625)
(xy 144.378755 96.674173) (xy 144.452121 96.652858) (xy 144.455981 96.650857) (xy 144.460145 96.6496) (xy 144.527604 96.613731)
(xy 144.595416 96.578581) (xy 144.598814 96.575868) (xy 144.602655 96.573826) (xy 144.661866 96.525535) (xy 144.721555 96.477885)
(xy 144.727607 96.471917) (xy 144.727732 96.471815) (xy 144.727828 96.471699) (xy 144.729777 96.469777) (xy 145.364778 95.834777)
(xy 145.413266 95.775746) (xy 145.448 95.734352) (xy 145.448 100.998) (xy 121.252 100.998) (xy 121.252 99.196803)
(xy 121.560862 99.196803) (xy 121.61846 99.510632) (xy 121.735918 99.807297) (xy 121.908762 100.075497) (xy 122.130407 100.305018)
(xy 122.392412 100.487116) (xy 122.684797 100.614856) (xy 122.996425 100.683372) (xy 123.315426 100.690054) (xy 123.629649 100.634648)
(xy 123.927127 100.519264) (xy 124.196528 100.348297) (xy 124.42759 100.128259) (xy 124.611513 99.867532) (xy 124.741291 99.576046)
(xy 124.811981 99.264904) (xy 124.812931 99.196803) (xy 141.880862 99.196803) (xy 141.93846 99.510632) (xy 142.055918 99.807297)
(xy 142.228762 100.075497) (xy 142.450407 100.305018) (xy 142.712412 100.487116) (xy 143.004797 100.614856) (xy 143.316425 100.683372)
(xy 143.635426 100.690054) (xy 143.949649 100.634648) (xy 144.247127 100.519264) (xy 144.516528 100.348297) (xy 144.74759 100.128259)
(xy 144.931513 99.867532) (xy 145.061291 99.576046) (xy 145.131981 99.264904) (xy 145.137069 98.900464) (xy 145.075095 98.58747)
(xy 144.953506 98.292474) (xy 144.776935 98.026713) (xy 144.552107 97.800309) (xy 144.287585 97.621887) (xy 143.993445 97.498242)
(xy 143.680891 97.434084) (xy 143.361827 97.431856) (xy 143.048408 97.491644) (xy 142.75257 97.611171) (xy 142.485583 97.785882)
(xy 142.257615 98.009125) (xy 142.077351 98.272394) (xy 141.951655 98.565664) (xy 141.885317 98.877763) (xy 141.880862 99.196803)
(xy 124.812931 99.196803) (xy 124.817069 98.900464) (xy 124.755095 98.58747) (xy 124.633506 98.292474) (xy 124.456935 98.026713)
(xy 124.232107 97.800309) (xy 123.967585 97.621887) (xy 123.673445 97.498242) (xy 123.360891 97.434084) (xy 123.041827 97.431856)
(xy 122.728408 97.491644) (xy 122.43257 97.611171) (xy 122.165583 97.785882) (xy 121.937615 98.009125) (xy 121.757351 98.272394)
(xy 121.631655 98.565664) (xy 121.565317 98.877763) (xy 121.560862 99.196803) (xy 121.252 99.196803) (xy 121.252 95.361775)
(xy 130.65643 95.361775) (xy 130.65643 95.421351) (xy 130.692054 95.600445) (xy 130.761933 95.769149) (xy 130.863382 95.920978)
(xy 130.992502 96.050098) (xy 131.14433 96.151546) (xy 131.313034 96.221426) (xy 131.492128 96.25705) (xy 131.97911 96.25705)
(xy 132.21086 96.0253) (xy 132.21086 95.130025) (xy 132.75686 95.130025) (xy 132.75686 96.0253) (xy 132.98861 96.25705)
(xy 133.475592 96.25705) (xy 133.654686 96.221426) (xy 133.82339 96.151546) (xy 133.975218 96.050098) (xy 134.104338 95.920978)
(xy 134.205787 95.769149) (xy 134.275666 95.600445) (xy 134.31129 95.421351) (xy 134.31129 95.361775) (xy 134.07954 95.130025)
(xy 132.75686 95.130025) (xy 132.21086 95.130025) (xy 130.88818 95.130025) (xy 130.65643 95.361775) (xy 121.252 95.361775)
(xy 121.252 91.18675) (xy 128.948 91.18675) (xy 128.948 91.196302) (xy 128.983624 91.375396) (xy 129.053504 91.5441)
(xy 129.154952 91.695928) (xy 129.284072 91.825048) (xy 129.435901 91.926497) (xy 129.604605 91.996376) (xy 129.783699 92.032)
(xy 130.14525 92.032) (xy 130.377 91.80025) (xy 130.377 90.955) (xy 129.17975 90.955) (xy 128.948 91.18675)
(xy 121.252 91.18675) (xy 121.252 81.093699) (xy 127.353 81.093699) (xy 127.353 81.43025) (xy 127.58475 81.662)
(xy 128.632 81.662) (xy 128.632 80.48975) (xy 129.178 80.48975) (xy 129.178 81.662) (xy 131.172 81.662)
(xy 131.172 80.48975) (xy 130.94025 80.258) (xy 130.728698 80.258) (xy 130.549604 80.293624) (xy 130.3809 80.363504)
(xy 130.229072 80.464952) (xy 130.175 80.519024) (xy 130.120928 80.464952) (xy 129.9691 80.363504) (xy 129.800396 80.293624)
(xy 129.621302 80.258) (xy 129.40975 80.258) (xy 129.178 80.48975) (xy 128.632 80.48975) (xy 128.40025 80.258)
(xy 128.188698 80.258) (xy 128.009604 80.293624) (xy 127.8409 80.363504) (xy 127.689072 80.464952) (xy 127.559952 80.594072)
(xy 127.458503 80.745901) (xy 127.388624 80.914605) (xy 127.353 81.093699) (xy 121.252 81.093699) (xy 121.252 78.876803)
(xy 121.560862 78.876803) (xy 121.61846 79.190632) (xy 121.735918 79.487297) (xy 121.908762 79.755497) (xy 122.130407 79.985018)
(xy 122.392412 80.167116) (xy 122.684797 80.294856) (xy 122.996425 80.363372) (xy 123.315426 80.370054) (xy 123.629649 80.314648)
(xy 123.927127 80.199264) (xy 124.196528 80.028297) (xy 124.42759 79.808259) (xy 124.611513 79.547532) (xy 124.741291 79.256046)
(xy 124.811981 78.944904) (xy 124.812931 78.876803) (xy 141.880862 78.876803) (xy 141.93846 79.190632) (xy 142.055918 79.487297)
(xy 142.228762 79.755497) (xy 142.450407 79.985018) (xy 142.712412 80.167116) (xy 143.004797 80.294856) (xy 143.316425 80.363372)
(xy 143.635426 80.370054) (xy 143.949649 80.314648) (xy 144.247127 80.199264) (xy 144.516528 80.028297) (xy 144.74759 79.808259)
(xy 144.931513 79.547532) (xy 145.061291 79.256046) (xy 145.131981 78.944904) (xy 145.137069 78.580464) (xy 145.075095 78.26747)
(xy 144.953506 77.972474) (xy 144.776935 77.706713) (xy 144.552107 77.480309) (xy 144.287585 77.301887) (xy 143.993445 77.178242)
(xy 143.680891 77.114084) (xy 143.361827 77.111856) (xy 143.048408 77.171644) (xy 142.75257 77.291171) (xy 142.485583 77.465882)
(xy 142.257615 77.689125) (xy 142.077351 77.952394) (xy 141.951655 78.245664) (xy 141.885317 78.557763) (xy 141.880862 78.876803)
(xy 124.812931 78.876803) (xy 124.817069 78.580464) (xy 124.755095 78.26747) (xy 124.633506 77.972474) (xy 124.456935 77.706713)
(xy 124.232107 77.480309) (xy 123.967585 77.301887) (xy 123.673445 77.178242) (xy 123.360891 77.114084) (xy 123.041827 77.111856)
(xy 122.728408 77.171644) (xy 122.43257 77.291171) (xy 122.165583 77.465882) (xy 121.937615 77.689125) (xy 121.757351 77.952394)
(xy 121.631655 78.245664) (xy 121.565317 78.557763) (xy 121.560862 78.876803) (xy 121.252 78.876803) (xy 121.252 76.802)
(xy 145.448 76.802)
)
)
)
)