satnogs-rotator/Diplexer/PCB/Diplexer.sch

1537 lines
50 KiB
Plaintext
Raw Blame History

This file contains invisible Unicode characters!

This file contains invisible Unicode characters that may be processed differently from what appears below. If your use case is intentional and legitimate, you can safely ignore this warning. Use the Escape button to reveal hidden characters.

<?xml version="1.0" encoding="utf-8"?>
<!DOCTYPE eagle SYSTEM "eagle.dtd">
<eagle version="7.1.0">
<drawing>
<settings>
<setting alwaysvectorfont="no"/>
<setting verticaltext="up"/>
</settings>
<grid distance="2.54" unitdist="mm" unit="mm" style="lines" multiple="1" display="yes" altdistance="0.254" altunitdist="mm" altunit="mm"/>
<layers>
<layer number="1" name="Top" color="4" fill="1" visible="no" active="no"/>
<layer number="16" name="Bottom" color="1" fill="1" visible="no" active="no"/>
<layer number="17" name="Pads" color="2" fill="1" visible="no" active="no"/>
<layer number="18" name="Vias" color="2" fill="1" visible="no" active="no"/>
<layer number="19" name="Unrouted" color="6" fill="1" visible="no" active="no"/>
<layer number="20" name="Dimension" color="15" fill="1" visible="no" active="no"/>
<layer number="21" name="tPlace" color="7" fill="1" visible="no" active="no"/>
<layer number="22" name="bPlace" color="7" fill="1" visible="no" active="no"/>
<layer number="23" name="tOrigins" color="15" fill="1" visible="no" active="no"/>
<layer number="24" name="bOrigins" color="15" fill="1" visible="no" active="no"/>
<layer number="25" name="tNames" color="7" fill="1" visible="no" active="no"/>
<layer number="26" name="bNames" color="7" fill="1" visible="no" active="no"/>
<layer number="27" name="tValues" color="7" fill="1" visible="no" active="no"/>
<layer number="28" name="bValues" color="7" fill="1" visible="no" active="no"/>
<layer number="29" name="tStop" color="7" fill="3" visible="no" active="no"/>
<layer number="30" name="bStop" color="7" fill="6" visible="no" active="no"/>
<layer number="31" name="tCream" color="7" fill="4" visible="no" active="no"/>
<layer number="32" name="bCream" color="7" fill="5" visible="no" active="no"/>
<layer number="33" name="tFinish" color="6" fill="3" visible="no" active="no"/>
<layer number="34" name="bFinish" color="6" fill="6" visible="no" active="no"/>
<layer number="35" name="tGlue" color="7" fill="4" visible="no" active="no"/>
<layer number="36" name="bGlue" color="7" fill="5" visible="no" active="no"/>
<layer number="37" name="tTest" color="7" fill="1" visible="no" active="no"/>
<layer number="38" name="bTest" color="7" fill="1" visible="no" active="no"/>
<layer number="39" name="tKeepout" color="4" fill="11" visible="no" active="no"/>
<layer number="40" name="bKeepout" color="1" fill="11" visible="no" active="no"/>
<layer number="41" name="tRestrict" color="4" fill="10" visible="no" active="no"/>
<layer number="42" name="bRestrict" color="1" fill="10" visible="no" active="no"/>
<layer number="43" name="vRestrict" color="2" fill="10" visible="no" active="no"/>
<layer number="44" name="Drills" color="7" fill="1" visible="no" active="no"/>
<layer number="45" name="Holes" color="7" fill="1" visible="no" active="no"/>
<layer number="46" name="Milling" color="3" fill="1" visible="no" active="no"/>
<layer number="47" name="Measures" color="7" fill="1" visible="no" active="no"/>
<layer number="48" name="Document" color="7" fill="1" visible="no" active="no"/>
<layer number="49" name="Reference" color="7" fill="1" visible="no" active="no"/>
<layer number="51" name="tDocu" color="6" fill="1" visible="no" active="no"/>
<layer number="52" name="bDocu" color="7" fill="1" visible="no" active="no"/>
<layer number="90" name="Modules" color="5" fill="1" visible="yes" active="yes"/>
<layer number="91" name="Nets" color="2" fill="1" visible="yes" active="yes"/>
<layer number="92" name="Busses" color="1" fill="1" visible="yes" active="yes"/>
<layer number="93" name="Pins" color="2" fill="1" visible="no" active="yes"/>
<layer number="94" name="Symbols" color="4" fill="1" visible="yes" active="yes"/>
<layer number="95" name="Names" color="7" fill="1" visible="yes" active="yes"/>
<layer number="96" name="Values" color="7" fill="1" visible="yes" active="yes"/>
<layer number="97" name="Info" color="7" fill="1" visible="yes" active="yes"/>
<layer number="98" name="Guide" color="6" fill="1" visible="yes" active="yes"/>
</layers>
<schematic xreflabel="Э " xrefpart="/%S.%C%R">
<libraries>
<library name="satnogs">
<description>&lt;b&gt;Resistors, Capacitors, Inductors&lt;/b&gt;&lt;p&gt;
Based on the previous libraries:
&lt;ul&gt;
&lt;li&gt;r.lbr
&lt;li&gt;cap.lbr
&lt;li&gt;cap-fe.lbr
&lt;li&gt;captant.lbr
&lt;li&gt;polcap.lbr
&lt;li&gt;ipc-smd.lbr
&lt;/ul&gt;
All SMD packages are defined according to the IPC specifications and CECC&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;&lt;p&gt;
&lt;p&gt;
for Electrolyt Capacitors see also :&lt;p&gt;
www.bccomponents.com &lt;p&gt;
www.panasonic.com&lt;p&gt;
www.kemet.com&lt;p&gt;
http://www.secc.co.jp/pdf/os_e/2004/e_os_all.pdf &lt;b&gt;(SANYO)&lt;/b&gt;
&lt;p&gt;
for trimmer refence see : &lt;u&gt;www.electrospec-inc.com/cross_references/trimpotcrossref.asp&lt;/u&gt;&lt;p&gt;
&lt;table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0&gt;
&lt;tr valign="top"&gt;
&lt;! &lt;td width="10"&gt;&amp;nbsp;&lt;/td&gt;
&lt;td width="90%"&gt;
&lt;b&gt;&lt;font color="#0000FF" size="4"&gt;TRIM-POT CROSS REFERENCE&lt;/font&gt;&lt;/b&gt;
&lt;P&gt;
&lt;TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;RECTANGULAR MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;BOURNS&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;BI&amp;nbsp;TECH&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;DALE-VISHAY&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;PHILIPS/MEPCO&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;MURATA&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;PANASONIC&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;SPECTROL&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;B&gt;
&lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;MILSPEC&lt;/FONT&gt;
&lt;/B&gt;
&lt;/TD&gt;&lt;TD&gt;&amp;nbsp;&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3 &gt;
3005P&lt;BR&gt;
3006P&lt;BR&gt;
3006W&lt;BR&gt;
3006Y&lt;BR&gt;
3009P&lt;BR&gt;
3009W&lt;BR&gt;
3009Y&lt;BR&gt;
3057J&lt;BR&gt;
3057L&lt;BR&gt;
3057P&lt;BR&gt;
3057Y&lt;BR&gt;
3059J&lt;BR&gt;
3059L&lt;BR&gt;
3059P&lt;BR&gt;
3059Y&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
89P&lt;BR&gt;
89W&lt;BR&gt;
89X&lt;BR&gt;
89PH&lt;BR&gt;
76P&lt;BR&gt;
89XH&lt;BR&gt;
78SLT&lt;BR&gt;
78L&amp;nbsp;ALT&lt;BR&gt;
56P&amp;nbsp;ALT&lt;BR&gt;
78P&amp;nbsp;ALT&lt;BR&gt;
T8S&lt;BR&gt;
78L&lt;BR&gt;
56P&lt;BR&gt;
78P&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
T18/784&lt;BR&gt;
783&lt;BR&gt;
781&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
2199&lt;BR&gt;
1697/1897&lt;BR&gt;
1680/1880&lt;BR&gt;
2187&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
8035EKP/CT20/RJ-20P&lt;BR&gt;
-&lt;BR&gt;
RJ-20X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
1211L&lt;BR&gt;
8012EKQ&amp;nbsp;ALT&lt;BR&gt;
8012EKR&amp;nbsp;ALT&lt;BR&gt;
1211P&lt;BR&gt;
8012EKJ&lt;BR&gt;
8012EKL&lt;BR&gt;
8012EKQ&lt;BR&gt;
8012EKR&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
2101P&lt;BR&gt;
2101W&lt;BR&gt;
2101Y&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
2102L&lt;BR&gt;
2102S&lt;BR&gt;
2102Y&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
EVMCOG&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
43P&lt;BR&gt;
43W&lt;BR&gt;
43Y&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
40L&lt;BR&gt;
40P&lt;BR&gt;
40Y&lt;BR&gt;
70Y-T602&lt;BR&gt;
70L&lt;BR&gt;
70P&lt;BR&gt;
70Y&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
RT/RTR12&lt;BR&gt;
RT/RTR12&lt;BR&gt;
RT/RTR12&lt;BR&gt;
-&lt;BR&gt;
RJ/RJR12&lt;BR&gt;
RJ/RJR12&lt;BR&gt;
RJ/RJR12&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;&amp;nbsp;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SQUARE MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MURATA&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;SPECTROL&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MILSPEC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
3250L&lt;BR&gt;
3250P&lt;BR&gt;
3250W&lt;BR&gt;
3250X&lt;BR&gt;
3252P&lt;BR&gt;
3252W&lt;BR&gt;
3252X&lt;BR&gt;
3260P&lt;BR&gt;
3260W&lt;BR&gt;
3260X&lt;BR&gt;
3262P&lt;BR&gt;
3262W&lt;BR&gt;
3262X&lt;BR&gt;
3266P&lt;BR&gt;
3266W&lt;BR&gt;
3266X&lt;BR&gt;
3290H&lt;BR&gt;
3290P&lt;BR&gt;
3290W&lt;BR&gt;
3292P&lt;BR&gt;
3292W&lt;BR&gt;
3292X&lt;BR&gt;
3296P&lt;BR&gt;
3296W&lt;BR&gt;
3296X&lt;BR&gt;
3296Y&lt;BR&gt;
3296Z&lt;BR&gt;
3299P&lt;BR&gt;
3299W&lt;BR&gt;
3299X&lt;BR&gt;
3299Y&lt;BR&gt;
3299Z&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
66P&amp;nbsp;ALT&lt;BR&gt;
66W&amp;nbsp;ALT&lt;BR&gt;
66X&amp;nbsp;ALT&lt;BR&gt;
66P&amp;nbsp;ALT&lt;BR&gt;
66W&amp;nbsp;ALT&lt;BR&gt;
66X&amp;nbsp;ALT&lt;BR&gt;
-&lt;BR&gt;
64W&amp;nbsp;ALT&lt;BR&gt;
-&lt;BR&gt;
64P&amp;nbsp;ALT&lt;BR&gt;
64W&amp;nbsp;ALT&lt;BR&gt;
64X&amp;nbsp;ALT&lt;BR&gt;
64P&lt;BR&gt;
64W&lt;BR&gt;
64X&lt;BR&gt;
66X&amp;nbsp;ALT&lt;BR&gt;
66P&amp;nbsp;ALT&lt;BR&gt;
66W&amp;nbsp;ALT&lt;BR&gt;
66P&lt;BR&gt;
66W&lt;BR&gt;
66X&lt;BR&gt;
67P&lt;BR&gt;
67W&lt;BR&gt;
67X&lt;BR&gt;
67Y&lt;BR&gt;
67Z&lt;BR&gt;
68P&lt;BR&gt;
68W&lt;BR&gt;
68X&lt;BR&gt;
67Y&amp;nbsp;ALT&lt;BR&gt;
67Z&amp;nbsp;ALT&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
5050&lt;BR&gt;
5091&lt;BR&gt;
5080&lt;BR&gt;
5087&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
T63YB&lt;BR&gt;
T63XB&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
5887&lt;BR&gt;
5891&lt;BR&gt;
5880&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
T93Z&lt;BR&gt;
T93YA&lt;BR&gt;
T93XA&lt;BR&gt;
T93YB&lt;BR&gt;
T93XB&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
8026EKP&lt;BR&gt;
8026EKW&lt;BR&gt;
8026EKM&lt;BR&gt;
8026EKP&lt;BR&gt;
8026EKB&lt;BR&gt;
8026EKM&lt;BR&gt;
1309X&lt;BR&gt;
1309P&lt;BR&gt;
1309W&lt;BR&gt;
8024EKP&lt;BR&gt;
8024EKW&lt;BR&gt;
8024EKN&lt;BR&gt;
RJ-9P/CT9P&lt;BR&gt;
RJ-9W&lt;BR&gt;
RJ-9X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
3103P&lt;BR&gt;
3103Y&lt;BR&gt;
3103Z&lt;BR&gt;
3103P&lt;BR&gt;
3103Y&lt;BR&gt;
3103Z&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
3105P/3106P&lt;BR&gt;
3105W/3106W&lt;BR&gt;
3105X/3106X&lt;BR&gt;
3105Y/3106Y&lt;BR&gt;
3105Z/3105Z&lt;BR&gt;
3102P&lt;BR&gt;
3102W&lt;BR&gt;
3102X&lt;BR&gt;
3102Y&lt;BR&gt;
3102Z&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMCBG&lt;BR&gt;
EVMCCG&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
55-1-X&lt;BR&gt;
55-4-X&lt;BR&gt;
55-3-X&lt;BR&gt;
55-2-X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
50-2-X&lt;BR&gt;
50-4-X&lt;BR&gt;
50-3-X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
64P&lt;BR&gt;
64W&lt;BR&gt;
64X&lt;BR&gt;
64Y&lt;BR&gt;
64Z&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
RT/RTR22&lt;BR&gt;
RT/RTR22&lt;BR&gt;
RT/RTR22&lt;BR&gt;
RT/RTR22&lt;BR&gt;
RJ/RJR22&lt;BR&gt;
RJ/RJR22&lt;BR&gt;
RJ/RJR22&lt;BR&gt;
RT/RTR26&lt;BR&gt;
RT/RTR26&lt;BR&gt;
RT/RTR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RJ/RJR26&lt;BR&gt;
RT/RTR24&lt;BR&gt;
RT/RTR24&lt;BR&gt;
RT/RTR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
RJ/RJR24&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;&amp;nbsp;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=8&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SINGLE TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MURATA&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;SPECTROL&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD ALIGN=CENTER&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MILSPEC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
3323P&lt;BR&gt;
3323S&lt;BR&gt;
3323W&lt;BR&gt;
3329H&lt;BR&gt;
3329P&lt;BR&gt;
3329W&lt;BR&gt;
3339H&lt;BR&gt;
3339P&lt;BR&gt;
3339W&lt;BR&gt;
3352E&lt;BR&gt;
3352H&lt;BR&gt;
3352K&lt;BR&gt;
3352P&lt;BR&gt;
3352T&lt;BR&gt;
3352V&lt;BR&gt;
3352W&lt;BR&gt;
3362H&lt;BR&gt;
3362M&lt;BR&gt;
3362P&lt;BR&gt;
3362R&lt;BR&gt;
3362S&lt;BR&gt;
3362U&lt;BR&gt;
3362W&lt;BR&gt;
3362X&lt;BR&gt;
3386B&lt;BR&gt;
3386C&lt;BR&gt;
3386F&lt;BR&gt;
3386H&lt;BR&gt;
3386K&lt;BR&gt;
3386M&lt;BR&gt;
3386P&lt;BR&gt;
3386S&lt;BR&gt;
3386W&lt;BR&gt;
3386X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
25P&lt;BR&gt;
25S&lt;BR&gt;
25RX&lt;BR&gt;
82P&lt;BR&gt;
82M&lt;BR&gt;
82PA&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
91E&lt;BR&gt;
91X&lt;BR&gt;
91T&lt;BR&gt;
91B&lt;BR&gt;
91A&lt;BR&gt;
91V&lt;BR&gt;
91W&lt;BR&gt;
25W&lt;BR&gt;
25V&lt;BR&gt;
25P&lt;BR&gt;
-&lt;BR&gt;
25S&lt;BR&gt;
25U&lt;BR&gt;
25RX&lt;BR&gt;
25X&lt;BR&gt;
72XW&lt;BR&gt;
72XL&lt;BR&gt;
72PM&lt;BR&gt;
72RX&lt;BR&gt;
-&lt;BR&gt;
72PX&lt;BR&gt;
72P&lt;BR&gt;
72RXW&lt;BR&gt;
72RXL&lt;BR&gt;
72X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
T7YB&lt;BR&gt;
T7YA&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
TXD&lt;BR&gt;
TYA&lt;BR&gt;
TYP&lt;BR&gt;
-&lt;BR&gt;
TYD&lt;BR&gt;
TX&lt;BR&gt;
-&lt;BR&gt;
150SX&lt;BR&gt;
100SX&lt;BR&gt;
102T&lt;BR&gt;
101S&lt;BR&gt;
190T&lt;BR&gt;
150TX&lt;BR&gt;
101&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
101SX&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
ET6P&lt;BR&gt;
ET6S&lt;BR&gt;
ET6X&lt;BR&gt;
RJ-6W/8014EMW&lt;BR&gt;
RJ-6P/8014EMP&lt;BR&gt;
RJ-6X/8014EMX&lt;BR&gt;
TM7W&lt;BR&gt;
TM7P&lt;BR&gt;
TM7X&lt;BR&gt;
-&lt;BR&gt;
8017SMS&lt;BR&gt;
-&lt;BR&gt;
8017SMB&lt;BR&gt;
8017SMA&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
CT-6W&lt;BR&gt;
CT-6H&lt;BR&gt;
CT-6P&lt;BR&gt;
CT-6R&lt;BR&gt;
-&lt;BR&gt;
CT-6V&lt;BR&gt;
CT-6X&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
8038EKV&lt;BR&gt;
-&lt;BR&gt;
8038EKX&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
8038EKP&lt;BR&gt;
8038EKZ&lt;BR&gt;
8038EKW&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
3321H&lt;BR&gt;
3321P&lt;BR&gt;
3321N&lt;BR&gt;
1102H&lt;BR&gt;
1102P&lt;BR&gt;
1102T&lt;BR&gt;
RVA0911V304A&lt;BR&gt;
-&lt;BR&gt;
RVA0911H413A&lt;BR&gt;
RVG0707V100A&lt;BR&gt;
RVA0607V(H)306A&lt;BR&gt;
RVA1214H213A&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
3104B&lt;BR&gt;
3104C&lt;BR&gt;
3104F&lt;BR&gt;
3104H&lt;BR&gt;
-&lt;BR&gt;
3104M&lt;BR&gt;
3104P&lt;BR&gt;
3104S&lt;BR&gt;
3104W&lt;BR&gt;
3104X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
EVMQ0G&lt;BR&gt;
EVMQIG&lt;BR&gt;
EVMQ3G&lt;BR&gt;
EVMS0G&lt;BR&gt;
EVMQ0G&lt;BR&gt;
EVMG0G&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMK4GA00B&lt;BR&gt;
EVM30GA00B&lt;BR&gt;
EVMK0GA00B&lt;BR&gt;
EVM38GA00B&lt;BR&gt;
EVMB6&lt;BR&gt;
EVLQ0&lt;BR&gt;
-&lt;BR&gt;
EVMMSG&lt;BR&gt;
EVMMBG&lt;BR&gt;
EVMMAG&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMMCS&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMM1&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMM0&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
EVMM3&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
62-3-1&lt;BR&gt;
62-1-2&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
67R&lt;BR&gt;
-&lt;BR&gt;
67P&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
67X&lt;BR&gt;
63V&lt;BR&gt;
63S&lt;BR&gt;
63M&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
63H&lt;BR&gt;
63P&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
63X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
RJ/RJR50&lt;BR&gt;
RJ/RJR50&lt;BR&gt;
RJ/RJR50&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;/TABLE&gt;
&lt;P&gt;&amp;nbsp;&lt;P&gt;
&lt;TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3&gt;
&lt;TR&gt;
&lt;TD COLSPAN=7&gt;
&lt;FONT color="#0000FF" SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SMD TRIM-POT CROSS REFERENCE&lt;/B&gt;&lt;/FONT&gt;
&lt;P&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURNS&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;TOCOS&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;AUX/KYOCERA&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
3224G&lt;BR&gt;
3224J&lt;BR&gt;
3224W&lt;BR&gt;
3269P&lt;BR&gt;
3269W&lt;BR&gt;
3269X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
44G&lt;BR&gt;
44J&lt;BR&gt;
44W&lt;BR&gt;
84P&lt;BR&gt;
84W&lt;BR&gt;
84X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
ST63Z&lt;BR&gt;
ST63Y&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
ST5P&lt;BR&gt;
ST5W&lt;BR&gt;
ST5X&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=7&gt;&amp;nbsp;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD COLSPAN=7&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SINGLE TURN&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURNS&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;TOCOS&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD&gt;
&lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;AUX/KYOCERA&lt;/B&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;TR&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
3314G&lt;BR&gt;
3314J&lt;BR&gt;
3364A/B&lt;BR&gt;
3364C/D&lt;BR&gt;
3364W/X&lt;BR&gt;
3313G&lt;BR&gt;
3313J&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
23B&lt;BR&gt;
23A&lt;BR&gt;
21X&lt;BR&gt;
21W&lt;BR&gt;
-&lt;BR&gt;
22B&lt;BR&gt;
22A&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
ST5YL/ST53YL&lt;BR&gt;
ST5YJ/5T53YJ&lt;BR&gt;
ST-23A&lt;BR&gt;
ST-22B&lt;BR&gt;
ST-22&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
ST-4B&lt;BR&gt;
ST-4A&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
ST-3B&lt;BR&gt;
ST-3A&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
EVM-6YS&lt;BR&gt;
EVM-1E&lt;BR&gt;
EVM-1G&lt;BR&gt;
EVM-1D&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
G4B&lt;BR&gt;
G4A&lt;BR&gt;
TR04-3S1&lt;BR&gt;
TRG04-2S1&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
-&lt;BR&gt;
-&lt;BR&gt;
DVR-43A&lt;BR&gt;
CVR-42C&lt;BR&gt;
CVR-42A/C&lt;BR&gt;
-&lt;BR&gt;
-&lt;BR&gt;&lt;/FONT&gt;
&lt;/TD&gt;
&lt;/TR&gt;
&lt;/TABLE&gt;
&lt;P&gt;
&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;ALT =&amp;nbsp;ALTERNATE&lt;/B&gt;&lt;/FONT&gt;
&lt;P&gt;
&amp;nbsp;
&lt;P&gt;
&lt;/td&gt;
&lt;/tr&gt;
&lt;/table&gt;</description>
<packages>
<package name="AIR_CORE_INDUCTOR">
<description>&lt;b&gt;INDUCTOR&lt;/b&gt;&lt;p&gt;
chip</description>
<wire x1="-2.1082" y1="1.6002" x2="2.1082" y2="1.6002" width="0.1016" layer="51"/>
<wire x1="-2.0828" y1="-1.6002" x2="2.1082" y2="-1.6002" width="0.1016" layer="51"/>
<smd name="1" x="-2.585" y="0" dx="1.9" dy="3.4" layer="1"/>
<smd name="2" x="2.585" y="0" dx="1.9" dy="3.4" layer="1"/>
<text x="-2.54" y="1.905" size="1.27" layer="25">&gt;NAME</text>
<text x="-2.54" y="-3.175" size="1.27" layer="27">&gt;VALUE</text>
<rectangle x1="-3.0226" y1="-1.651" x2="-2.0726" y2="1.649" layer="51"/>
<rectangle x1="2.0828" y1="-1.651" x2="3.0328" y2="1.649" layer="51"/>
</package>
<package name="SMA">
<description>&lt;b&gt;BNC CONNECTOR&lt;/b&gt;&lt;p&gt;
50 Ohm&lt;p&gt;
distributor Buerklin 78F2475</description>
<wire x1="-1.5" y1="0.5" x2="1.5" y2="0.5" width="0.3048" layer="21" curve="-143.130102"/>
<wire x1="-1.5" y1="-0.5" x2="1.5" y2="-0.5" width="0.3048" layer="21" curve="143.130102"/>
<circle x="0" y="0" radius="3.19" width="0.254" layer="21"/>
<pad name="1" x="0" y="0" drill="1.27" diameter="2.54"/>
<pad name="2" x="0" y="6.19125" drill="2.54" diameter="3.81"/>
<pad name="4" x="0" y="-6.19125" drill="2.54" diameter="3.81"/>
<text x="-2.8575" y="9.2075" size="1.27" layer="25">&gt;NAME</text>
<text x="-3.175" y="-10.95375" size="1.27" layer="27">&gt;VALUE</text>
<wire x1="-3.175" y1="0" x2="-3.175" y2="6.6675" width="0.254" layer="21"/>
<wire x1="-3.175" y1="6.6675" x2="-1.5875" y2="7.9375" width="0.254" layer="21"/>
<wire x1="3.175" y1="6.6675" x2="1.42875" y2="7.9375" width="0.254" layer="21"/>
<wire x1="1.42875" y1="7.9375" x2="-1.5875" y2="7.9375" width="0.254" layer="21"/>
<wire x1="-3.175" y1="-0.15875" x2="-3.175" y2="-6.6675" width="0.254" layer="21"/>
<wire x1="-3.175" y1="-6.6675" x2="-1.5875" y2="-7.9375" width="0.254" layer="21"/>
<wire x1="3.175" y1="-6.6675" x2="1.42875" y2="-7.9375" width="0.254" layer="21"/>
<wire x1="1.42875" y1="-7.9375" x2="-1.5875" y2="-7.9375" width="0.254" layer="21"/>
<wire x1="3.175" y1="-6.6675" x2="3.175" y2="6.6675" width="0.254" layer="21"/>
</package>
<package name="C1206">
<description>&lt;b&gt;CAPACITOR&lt;/b&gt;</description>
<wire x1="-2.473" y1="0.983" x2="2.473" y2="0.983" width="0.0508" layer="39"/>
<wire x1="2.473" y1="-0.983" x2="-2.473" y2="-0.983" width="0.0508" layer="39"/>
<wire x1="-2.473" y1="-0.983" x2="-2.473" y2="0.983" width="0.0508" layer="39"/>
<wire x1="2.473" y1="0.983" x2="2.473" y2="-0.983" width="0.0508" layer="39"/>
<wire x1="-0.965" y1="0.787" x2="0.965" y2="0.787" width="0.1016" layer="51"/>
<wire x1="-0.965" y1="-0.787" x2="0.965" y2="-0.787" width="0.1016" layer="51"/>
<smd name="1" x="-1.4" y="0" dx="1.6" dy="1.8" layer="1"/>
<smd name="2" x="1.4" y="0" dx="1.6" dy="1.8" layer="1"/>
<text x="-1.27" y="1.27" size="1.27" layer="25">&gt;NAME</text>
<text x="-1.27" y="-2.54" size="1.27" layer="27">&gt;VALUE</text>
<rectangle x1="-1.7018" y1="-0.8509" x2="-0.9517" y2="0.8491" layer="51"/>
<rectangle x1="0.9517" y1="-0.8491" x2="1.7018" y2="0.8509" layer="51"/>
<rectangle x1="-0.1999" y1="-0.4001" x2="0.1999" y2="0.4001" layer="35"/>
</package>
</packages>
<symbols>
<symbol name="AIR_CORE_INDUCTOR">
<wire x1="0" y1="5.08" x2="1.27" y2="3.81" width="0.254" layer="94" curve="-90"/>
<wire x1="0" y1="2.54" x2="1.27" y2="3.81" width="0.254" layer="94" curve="90"/>
<wire x1="0" y1="2.54" x2="1.27" y2="1.27" width="0.254" layer="94" curve="-90"/>
<wire x1="0" y1="0" x2="1.27" y2="1.27" width="0.254" layer="94" curve="90"/>
<wire x1="0" y1="0" x2="1.27" y2="-1.27" width="0.254" layer="94" curve="-90"/>
<wire x1="0" y1="-2.54" x2="1.27" y2="-1.27" width="0.254" layer="94" curve="90"/>
<wire x1="0" y1="-2.54" x2="1.27" y2="-3.81" width="0.254" layer="94" curve="-90"/>
<wire x1="0" y1="-5.08" x2="1.27" y2="-3.81" width="0.254" layer="94" curve="90"/>
<text x="-1.27" y="-5.08" size="1.778" layer="95" rot="R90">&gt;NAME</text>
<text x="3.81" y="-5.08" size="1.778" layer="96" rot="R90">&gt;VALUE</text>
<pin name="2" x="0" y="-7.62" visible="off" length="short" direction="pas" swaplevel="1" rot="R90"/>
<pin name="1" x="0" y="7.62" visible="off" length="short" direction="pas" swaplevel="1" rot="R270"/>
</symbol>
<symbol name="SMA">
<wire x1="-2.54" y1="2.54" x2="-2.54" y2="-2.54" width="0.254" layer="94" curve="-180" cap="flat"/>
<wire x1="0" y1="-2.54" x2="-0.762" y2="-1.778" width="0.254" layer="94"/>
<wire x1="0" y1="0" x2="-0.508" y2="0" width="0.1524" layer="94"/>
<wire x1="-2.54" y1="0.254" x2="-0.762" y2="0.254" width="0.254" layer="94"/>
<wire x1="-0.762" y1="0.254" x2="-0.508" y2="0" width="0.254" layer="94"/>
<wire x1="-0.508" y1="0" x2="-0.762" y2="-0.254" width="0.254" layer="94"/>
<wire x1="-0.762" y1="-0.254" x2="-2.54" y2="-0.254" width="0.254" layer="94"/>
<text x="-2.54" y="-5.08" size="1.778" layer="96">&gt;VALUE</text>
<text x="-2.54" y="3.302" size="1.778" layer="95">&gt;NAME</text>
<pin name="1" x="2.54" y="0" visible="off" length="short" direction="pas" rot="R180"/>
<pin name="2" x="2.54" y="-2.54" visible="off" length="short" direction="pas" rot="R180"/>
</symbol>
<symbol name="C-EU">
<wire x1="0" y1="0" x2="0" y2="-0.508" width="0.1524" layer="94"/>
<wire x1="0" y1="-2.54" x2="0" y2="-2.032" width="0.1524" layer="94"/>
<text x="1.524" y="0.381" size="1.778" layer="95">&gt;NAME</text>
<text x="1.524" y="-4.699" size="1.778" layer="96">&gt;VALUE</text>
<rectangle x1="-2.032" y1="-2.032" x2="2.032" y2="-1.524" layer="94"/>
<rectangle x1="-2.032" y1="-1.016" x2="2.032" y2="-0.508" layer="94"/>
<pin name="1" x="0" y="2.54" visible="off" length="short" direction="pas" swaplevel="1" rot="R270"/>
<pin name="2" x="0" y="-5.08" visible="off" length="short" direction="pas" swaplevel="1" rot="R90"/>
</symbol>
</symbols>
<devicesets>
<deviceset name="AIR_CORE_INDUCTOR" prefix="L" uservalue="yes">
<description>&lt;B&gt;INDUCTOR&lt;/B&gt;</description>
<gates>
<gate name="G$1" symbol="AIR_CORE_INDUCTOR" x="0" y="0"/>
</gates>
<devices>
<device name="L1812" package="AIR_CORE_INDUCTOR">
<connects>
<connect gate="G$1" pin="1" pad="1"/>
<connect gate="G$1" pin="2" pad="2"/>
</connects>
<technologies>
<technology name=""/>
</technologies>
</device>
</devices>
</deviceset>
<deviceset name="SMA" prefix="X">
<description>&lt;b&gt;SMA CONNECTOR&lt;/b&gt; 50 Ohm&lt;p&gt;</description>
<gates>
<gate name="G$1" symbol="SMA" x="0" y="0"/>
</gates>
<devices>
<device name="SMA" package="SMA">
<connects>
<connect gate="G$1" pin="1" pad="1"/>
<connect gate="G$1" pin="2" pad="2 4"/>
</connects>
<technologies>
<technology name=""/>
</technologies>
</device>
</devices>
</deviceset>
<deviceset name="C-EU" prefix="C" uservalue="yes">
<description>&lt;B&gt;CAPACITOR&lt;/B&gt;, European symbol</description>
<gates>
<gate name="G$1" symbol="C-EU" x="0" y="0"/>
</gates>
<devices>
<device name="C1206" package="C1206">
<connects>
<connect gate="G$1" pin="1" pad="1"/>
<connect gate="G$1" pin="2" pad="2"/>
</connects>
<technologies>
<technology name=""/>
</technologies>
</device>
</devices>
</deviceset>
</devicesets>
</library>
<library name="supply2">
<description>&lt;b&gt;Supply Symbols&lt;/b&gt;&lt;p&gt;
GND, VCC, 0V, +5V, -5V, etc.&lt;p&gt;
Please keep in mind, that these devices are necessary for the
automatic wiring of the supply signals.&lt;p&gt;
The pin name defined in the symbol is identical to the net which is to be wired automatically.&lt;p&gt;
In this library the device names are the same as the pin names of the symbols, therefore the correct signal names appear next to the supply symbols in the schematic.&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
</packages>
<symbols>
<symbol name="GND">
<wire x1="-1.27" y1="0" x2="1.27" y2="0" width="0.254" layer="94"/>
<wire x1="1.27" y1="0" x2="0" y2="-1.27" width="0.254" layer="94"/>
<wire x1="0" y1="-1.27" x2="-1.27" y2="0" width="0.254" layer="94"/>
<text x="-1.905" y="-3.175" size="1.778" layer="96">&gt;VALUE</text>
<pin name="GND" x="0" y="2.54" visible="off" length="short" direction="sup" rot="R270"/>
</symbol>
</symbols>
<devicesets>
<deviceset name="GND" prefix="SUPPLY">
<description>&lt;b&gt;SUPPLY SYMBOL&lt;/b&gt;</description>
<gates>
<gate name="GND" symbol="GND" x="0" y="0"/>
</gates>
<devices>
<device name="">
<technologies>
<technology name=""/>
</technologies>
</device>
</devices>
</deviceset>
</devicesets>
</library>
</libraries>
<attributes>
</attributes>
<variantdefs>
</variantdefs>
<classes>
<class number="0" name="default" width="0" drill="0">
</class>
</classes>
<parts>
<part name="L1" library="satnogs" deviceset="AIR_CORE_INDUCTOR" device="L1812" value="36.61n"/>
<part name="L2" library="satnogs" deviceset="AIR_CORE_INDUCTOR" device="L1812" value="36.61n"/>
<part name="L3" library="satnogs" deviceset="AIR_CORE_INDUCTOR" device="L1812" value="36.61n"/>
<part name="L4" library="satnogs" deviceset="AIR_CORE_INDUCTOR" device="L1812" value="36.61n"/>
<part name="L5" library="satnogs" deviceset="AIR_CORE_INDUCTOR" device="L1812" value="15.16n"/>
<part name="L6" library="satnogs" deviceset="AIR_CORE_INDUCTOR" device="L1812" value="8.63n"/>
<part name="L7" library="satnogs" deviceset="AIR_CORE_INDUCTOR" device="L1812" value="8.63n"/>
<part name="L8" library="satnogs" deviceset="AIR_CORE_INDUCTOR" device="L1812" value="8.63n"/>
<part name="X1" library="satnogs" deviceset="SMA" device="SMA"/>
<part name="X2" library="satnogs" deviceset="SMA" device="SMA"/>
<part name="X3" library="satnogs" deviceset="SMA" device="SMA"/>
<part name="C1" library="satnogs" deviceset="C-EU" device="C1206" value="20p"/>
<part name="C2" library="satnogs" deviceset="C-EU" device="C1206" value="30p"/>
<part name="C3" library="satnogs" deviceset="C-EU" device="C1206" value="30p"/>
<part name="C4" library="satnogs" deviceset="C-EU" device="C1206" value="30p"/>
<part name="C5" library="satnogs" deviceset="C-EU" device="C1206" value="20p"/>
<part name="L9" library="satnogs" deviceset="AIR_CORE_INDUCTOR" device="L1812" value="15.16n"/>
<part name="C6" library="satnogs" deviceset="C-EU" device="C1206" value="9.4p"/>
<part name="C7" library="satnogs" deviceset="C-EU" device="C1206" value="9.4p"/>
<part name="C8" library="satnogs" deviceset="C-EU" device="C1206" value="9.4p"/>
<part name="C9" library="satnogs" deviceset="C-EU" device="C1206" value="9.4p"/>
<part name="SUPPLY1" library="supply2" deviceset="GND" device=""/>
<part name="SUPPLY2" library="supply2" deviceset="GND" device=""/>
<part name="SUPPLY3" library="supply2" deviceset="GND" device=""/>
<part name="SUPPLY4" library="supply2" deviceset="GND" device=""/>
<part name="SUPPLY5" library="supply2" deviceset="GND" device=""/>
</parts>
<sheets>
<sheet>
<plain>
</plain>
<instances>
<instance part="L1" gate="G$1" x="58.42" y="81.28" rot="R90"/>
<instance part="L2" gate="G$1" x="78.74" y="81.28" rot="R90"/>
<instance part="L3" gate="G$1" x="99.06" y="81.28" rot="R90"/>
<instance part="L4" gate="G$1" x="119.38" y="81.28" rot="R90"/>
<instance part="L5" gate="G$1" x="48.26" y="35.56" rot="R180"/>
<instance part="L6" gate="G$1" x="68.58" y="35.56" rot="R180"/>
<instance part="L7" gate="G$1" x="88.9" y="35.56" rot="R180"/>
<instance part="L8" gate="G$1" x="109.22" y="35.56" rot="R180"/>
<instance part="X1" gate="G$1" x="27.94" y="81.28"/>
<instance part="X2" gate="G$1" x="154.94" y="81.28" rot="MR0"/>
<instance part="X3" gate="G$1" x="156.21" y="45.72" rot="MR0"/>
<instance part="C1" gate="G$1" x="48.26" y="71.12" rot="R180"/>
<instance part="C2" gate="G$1" x="68.58" y="71.12" rot="R180"/>
<instance part="C3" gate="G$1" x="88.9" y="71.12" rot="R180"/>
<instance part="C4" gate="G$1" x="109.22" y="71.12" rot="R180"/>
<instance part="C5" gate="G$1" x="129.54" y="71.12" rot="R180"/>
<instance part="L9" gate="G$1" x="129.54" y="35.56" rot="R180"/>
<instance part="C6" gate="G$1" x="58.42" y="45.72" rot="R270"/>
<instance part="C7" gate="G$1" x="78.74" y="45.72" rot="R270"/>
<instance part="C8" gate="G$1" x="99.06" y="45.72" rot="R270"/>
<instance part="C9" gate="G$1" x="121.92" y="45.72" rot="R270"/>
<instance part="SUPPLY1" gate="GND" x="88.9" y="58.42"/>
<instance part="SUPPLY2" gate="GND" x="88.9" y="20.32"/>
<instance part="SUPPLY3" gate="GND" x="31.75" y="58.42"/>
<instance part="SUPPLY4" gate="GND" x="148.59" y="58.42"/>
<instance part="SUPPLY5" gate="GND" x="149.86" y="21.59"/>
</instances>
<busses>
</busses>
<nets>
<net name="N$1" class="0">
<segment>
<pinref part="C1" gate="G$1" pin="2"/>
<wire x1="48.26" y1="76.2" x2="48.26" y2="81.28" width="0.1524" layer="91"/>
<pinref part="L1" gate="G$1" pin="1"/>
<wire x1="48.26" y1="81.28" x2="50.8" y2="81.28" width="0.1524" layer="91"/>
<pinref part="X1" gate="G$1" pin="1"/>
<wire x1="30.48" y1="81.28" x2="39.37" y2="81.28" width="0.1524" layer="91"/>
<wire x1="39.37" y1="81.28" x2="48.26" y2="81.28" width="0.1524" layer="91"/>
<junction x="48.26" y="81.28"/>
<wire x1="39.37" y1="81.28" x2="39.37" y2="45.72" width="0.1524" layer="91"/>
<junction x="39.37" y="81.28"/>
<pinref part="L5" gate="G$1" pin="2"/>
<wire x1="48.26" y1="43.18" x2="48.26" y2="45.72" width="0.1524" layer="91"/>
<pinref part="C6" gate="G$1" pin="2"/>
<wire x1="48.26" y1="45.72" x2="53.34" y2="45.72" width="0.1524" layer="91"/>
<wire x1="39.37" y1="45.72" x2="48.26" y2="45.72" width="0.1524" layer="91"/>
<junction x="48.26" y="45.72"/>
</segment>
</net>
<net name="N$2" class="0">
<segment>
<pinref part="L1" gate="G$1" pin="2"/>
<pinref part="L2" gate="G$1" pin="1"/>
<wire x1="66.04" y1="81.28" x2="68.58" y2="81.28" width="0.1524" layer="91"/>
<pinref part="C2" gate="G$1" pin="2"/>
<wire x1="68.58" y1="81.28" x2="71.12" y2="81.28" width="0.1524" layer="91"/>
<wire x1="68.58" y1="76.2" x2="68.58" y2="81.28" width="0.1524" layer="91"/>
<junction x="68.58" y="81.28"/>
</segment>
</net>
<net name="N$3" class="0">
<segment>
<pinref part="L2" gate="G$1" pin="2"/>
<pinref part="L3" gate="G$1" pin="1"/>
<wire x1="86.36" y1="81.28" x2="88.9" y2="81.28" width="0.1524" layer="91"/>
<pinref part="C3" gate="G$1" pin="2"/>
<wire x1="88.9" y1="81.28" x2="91.44" y2="81.28" width="0.1524" layer="91"/>
<wire x1="88.9" y1="76.2" x2="88.9" y2="81.28" width="0.1524" layer="91"/>
<junction x="88.9" y="81.28"/>
</segment>
</net>
<net name="N$4" class="0">
<segment>
<pinref part="L3" gate="G$1" pin="2"/>
<pinref part="L4" gate="G$1" pin="1"/>
<wire x1="106.68" y1="81.28" x2="109.22" y2="81.28" width="0.1524" layer="91"/>
<pinref part="C4" gate="G$1" pin="2"/>
<wire x1="109.22" y1="81.28" x2="111.76" y2="81.28" width="0.1524" layer="91"/>
<wire x1="109.22" y1="76.2" x2="109.22" y2="81.28" width="0.1524" layer="91"/>
<junction x="109.22" y="81.28"/>
</segment>
</net>
<net name="N$5" class="0">
<segment>
<pinref part="L4" gate="G$1" pin="2"/>
<pinref part="C5" gate="G$1" pin="2"/>
<wire x1="127" y1="81.28" x2="129.54" y2="81.28" width="0.1524" layer="91"/>
<wire x1="129.54" y1="81.28" x2="129.54" y2="76.2" width="0.1524" layer="91"/>
<pinref part="X2" gate="G$1" pin="1"/>
<wire x1="129.54" y1="81.28" x2="152.4" y2="81.28" width="0.1524" layer="91"/>
<wire x1="153.67" y1="81.28" x2="152.4" y2="81.28" width="0.1524" layer="91"/>
<junction x="129.54" y="81.28"/>
</segment>
</net>
<net name="N$8" class="0">
<segment>
<pinref part="C6" gate="G$1" pin="1"/>
<pinref part="C7" gate="G$1" pin="2"/>
<wire x1="60.96" y1="45.72" x2="68.58" y2="45.72" width="0.1524" layer="91"/>
<pinref part="L6" gate="G$1" pin="2"/>
<wire x1="68.58" y1="45.72" x2="73.66" y2="45.72" width="0.1524" layer="91"/>
<wire x1="68.58" y1="43.18" x2="68.58" y2="45.72" width="0.1524" layer="91"/>
<junction x="68.58" y="45.72"/>
</segment>
</net>
<net name="N$9" class="0">
<segment>
<pinref part="C7" gate="G$1" pin="1"/>
<pinref part="C8" gate="G$1" pin="2"/>
<wire x1="81.28" y1="45.72" x2="88.9" y2="45.72" width="0.1524" layer="91"/>
<pinref part="L7" gate="G$1" pin="2"/>
<wire x1="88.9" y1="45.72" x2="93.98" y2="45.72" width="0.1524" layer="91"/>
<wire x1="88.9" y1="43.18" x2="88.9" y2="45.72" width="0.1524" layer="91"/>
<junction x="88.9" y="45.72"/>
</segment>
</net>
<net name="N$10" class="0">
<segment>
<pinref part="C8" gate="G$1" pin="1"/>
<pinref part="C9" gate="G$1" pin="2"/>
<wire x1="101.6" y1="45.72" x2="109.22" y2="45.72" width="0.1524" layer="91"/>
<pinref part="L8" gate="G$1" pin="2"/>
<wire x1="109.22" y1="45.72" x2="116.84" y2="45.72" width="0.1524" layer="91"/>
<wire x1="109.22" y1="43.18" x2="109.22" y2="45.72" width="0.1524" layer="91"/>
<junction x="109.22" y="45.72"/>
</segment>
</net>
<net name="N$11" class="0">
<segment>
<pinref part="C9" gate="G$1" pin="1"/>
<pinref part="L9" gate="G$1" pin="2"/>
<wire x1="124.46" y1="45.72" x2="129.54" y2="45.72" width="0.1524" layer="91"/>
<wire x1="129.54" y1="45.72" x2="129.54" y2="43.18" width="0.1524" layer="91"/>
<pinref part="X3" gate="G$1" pin="1"/>
<wire x1="129.54" y1="45.72" x2="153.67" y2="45.72" width="0.1524" layer="91"/>
<junction x="129.54" y="45.72"/>
</segment>
</net>
<net name="GND" class="0">
<segment>
<pinref part="L5" gate="G$1" pin="1"/>
<wire x1="48.26" y1="27.94" x2="48.26" y2="25.4" width="0.1524" layer="91"/>
<pinref part="L9" gate="G$1" pin="1"/>
<wire x1="48.26" y1="25.4" x2="68.58" y2="25.4" width="0.1524" layer="91"/>
<wire x1="68.58" y1="25.4" x2="88.9" y2="25.4" width="0.1524" layer="91"/>
<wire x1="88.9" y1="25.4" x2="109.22" y2="25.4" width="0.1524" layer="91"/>
<wire x1="109.22" y1="25.4" x2="129.54" y2="25.4" width="0.1524" layer="91"/>
<wire x1="129.54" y1="25.4" x2="129.54" y2="27.94" width="0.1524" layer="91"/>
<pinref part="L6" gate="G$1" pin="1"/>
<wire x1="68.58" y1="27.94" x2="68.58" y2="25.4" width="0.1524" layer="91"/>
<junction x="68.58" y="25.4"/>
<pinref part="L7" gate="G$1" pin="1"/>
<wire x1="88.9" y1="27.94" x2="88.9" y2="25.4" width="0.1524" layer="91"/>
<junction x="88.9" y="25.4"/>
<pinref part="L8" gate="G$1" pin="1"/>
<wire x1="109.22" y1="27.94" x2="109.22" y2="25.4" width="0.1524" layer="91"/>
<junction x="109.22" y="25.4"/>
<pinref part="SUPPLY2" gate="GND" pin="GND"/>
<wire x1="88.9" y1="22.86" x2="88.9" y2="25.4" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="C1" gate="G$1" pin="1"/>
<wire x1="48.26" y1="68.58" x2="48.26" y2="63.5" width="0.1524" layer="91"/>
<pinref part="C5" gate="G$1" pin="1"/>
<wire x1="48.26" y1="63.5" x2="68.58" y2="63.5" width="0.1524" layer="91"/>
<wire x1="68.58" y1="63.5" x2="88.9" y2="63.5" width="0.1524" layer="91"/>
<wire x1="88.9" y1="63.5" x2="109.22" y2="63.5" width="0.1524" layer="91"/>
<wire x1="109.22" y1="63.5" x2="129.54" y2="63.5" width="0.1524" layer="91"/>
<wire x1="129.54" y1="63.5" x2="129.54" y2="68.58" width="0.1524" layer="91"/>
<pinref part="C4" gate="G$1" pin="1"/>
<wire x1="109.22" y1="68.58" x2="109.22" y2="63.5" width="0.1524" layer="91"/>
<junction x="109.22" y="63.5"/>
<pinref part="C3" gate="G$1" pin="1"/>
<wire x1="88.9" y1="68.58" x2="88.9" y2="63.5" width="0.1524" layer="91"/>
<junction x="88.9" y="63.5"/>
<pinref part="C2" gate="G$1" pin="1"/>
<wire x1="68.58" y1="68.58" x2="68.58" y2="63.5" width="0.1524" layer="91"/>
<junction x="68.58" y="63.5"/>
<pinref part="SUPPLY1" gate="GND" pin="GND"/>
<wire x1="88.9" y1="60.96" x2="88.9" y2="63.5" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="SUPPLY5" gate="GND" pin="GND"/>
<wire x1="149.86" y1="24.13" x2="149.86" y2="43.18" width="0.1524" layer="91"/>
<pinref part="X3" gate="G$1" pin="2"/>
<wire x1="149.86" y1="43.18" x2="153.67" y2="43.18" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="SUPPLY4" gate="GND" pin="GND"/>
<wire x1="148.59" y1="60.96" x2="148.59" y2="78.74" width="0.1524" layer="91"/>
<pinref part="X2" gate="G$1" pin="2"/>
<wire x1="148.59" y1="78.74" x2="152.4" y2="78.74" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="X1" gate="G$1" pin="2"/>
<wire x1="30.48" y1="78.74" x2="31.75" y2="78.74" width="0.1524" layer="91"/>
<wire x1="31.75" y1="78.74" x2="31.75" y2="60.96" width="0.1524" layer="91"/>
<pinref part="SUPPLY3" gate="GND" pin="GND"/>
</segment>
</net>
</nets>
</sheet>
</sheets>
</schematic>
</drawing>
</eagle>